copyleft: gplv3 added and set repo to public
[calu.git] / cpu / src / decoder_b.vhd
index d0a5070207a2c6004afad4717dd816e45fba0ba1..abeedb9806ef1e2371a876e229f4eeb6f8f66922 100644 (file)
@@ -1,3 +1,24 @@
+--   `Deep Thought', a softcore CPU implemented on a FPGA
+--
+--  Copyright (C) 2010 Markus Hofstaetter <markus.manrow@gmx.at>
+--  Copyright (C) 2010 Martin Perner <e0725782@student.tuwien.ac.at>
+--  Copyright (C) 2010 Stefan Rebernig <stefan.rebernig@gmail.com>
+--  Copyright (C) 2010 Manfred Schwarz <e0725898@student.tuwien.ac.at>
+--  Copyright (C) 2010 Bernhard Urban <lewurm@gmail.com>
+--
+--  This program is free software: you can redistribute it and/or modify
+--  it under the terms of the GNU General Public License as published by
+--  the Free Software Foundation, either version 3 of the License, or
+--  (at your option) any later version.
+--
+--  This program is distributed in the hope that it will be useful,
+--  but WITHOUT ANY WARRANTY; without even the implied warranty of
+--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+--  GNU General Public License for more details.
+--
+--  You should have received a copy of the GNU General Public License
+--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
+
 library IEEE;
 use IEEE.std_logic_1164.all;
 use IEEE.numeric_std.all;
@@ -32,6 +53,7 @@ begin
        instr_s.bp := '0';
        instr_s.op_detail := (others => '0');
        instr_s.displacement := (others => '0');
+       instr_s.int := '0';
 
        instr_s.op_group := ADDSUB_OP;
 
@@ -311,16 +333,21 @@ begin
                instr_s.reg_dest_addr := instruction(22 downto 19);
                instr_s.op_group := STACK_OP;
                instr_s.op_detail(NO_PSW_OPT) := '1';
+               instr_s.op_detail(PWREN_OPT) := '1';
        
                case instruction(18 downto 17) is
-                       when "00" => 
+                       when "00" => --pop
                                instr_s.op_detail(PUSH_OPT) := '0';
                        
-                       when "01" => null;
+                       when "01" => --disc
+                               instr_s.op_detail(PUSH_OPT) := '0';
+                               instr_s.op_detail(NO_DST_OPT) := '1';
                        
-                       when "10" => null;
+                       when "10" => --fetch
+                               instr_s.op_detail(PUSH_OPT) := '0';
+                               instr_s.op_detail(PWREN_OPT) := '0';
                        
-                       when "11" =>
+                       when "11" => --push
                                instr_s.op_detail(PUSH_OPT) := '1';
                                
                        when others => null;
@@ -353,7 +380,8 @@ begin
                instr_s.jmptype := instruction(3 downto 2);
                instr_s.signext := instruction(0);
                instr_s.op_detail(NO_PSW_OPT) := '1';
-               
+               instr_s.op_detail(DIRECT_JUMP_OPT) := instruction(4);
+               instr_s.int := instruction(4);
 
                if (instr_s.opcode = "10110") then
                        instr_s.op_detail(IMM_OPT) := '1';      
@@ -367,20 +395,47 @@ begin
                        instr_s.immediate(31 downto 16) := (others => '1');
                end if;
 
-               if (instr_s.jmptype = "00") then
---                     instr_s.op_detail(SUB_OPT) := not instr_s.opcode(0);
-                       instr_s.op_group := JMP_OP;
-               end if;
+               case instr_s.jmptype is 
+                       when "00" =>
+                               instr_s.op_group := JMP_OP;
+                       
+                       when "01" =>
+                               instr_s.op_group := JMP_ST_OP;
+                       
+                       when "10" =>
+                               instr_s.op_group := JMP_ST_OP;
+                               instr_s.op_detail(RET_OPT) := '1';
+                       
+                       when "11" =>
+                               instr_s.op_group := JMP_OP;
+                               instr_s.op_detail(JMP_REG_OPT) := '1';
+                               instr_s.op_detail(IMM_OPT) := '1';
+                               instr_s.immediate := (others => '0');
+                       
+                       when others => null;
+               end case;
                
-               if (instr_s.jmptype = "01") then
-                       instr_s.op_group := JMP_ST_OP;
-               --      instr_s.op_detail(RET_OPT) := '0';
-               end if;
-
-               if (instr_s.jmptype = "10") then
-                       instr_s.op_group := JMP_ST_OP;
-                       instr_s.op_detail(RET_OPT) := '1';
-               end if;
+--             if (instr_s.jmptype = "00") then
+----                   instr_s.op_detail(SUB_OPT) := not instr_s.opcode(0);
+--                     instr_s.op_group := JMP_OP;
+--             end if;
+--             
+--             if (instr_s.jmptype = "01") then
+--                     instr_s.op_group := JMP_ST_OP;
+--             --      instr_s.op_detail(RET_OPT) := '0';
+--             end if;
+--
+--             if (instr_s.jmptype = "10") then
+--                     instr_s.op_group := JMP_ST_OP;
+--                     instr_s.op_detail(RET_OPT) := '1';
+--             end if;
+--             
+--             if (instr_s.jmptype = "11") then
+--                     instr_s.op_group := JMP_OP;
+--                     instr_s.op_detail(JMP_REG_OPT) := '1';
+--                     instr_s.op_detail(IMM_OPT) := '1';
+--                     instr_s.immediate := (others => '0');
+--             end if;
 
                if (instr_s.predicates = "1111" or instr_s.jmptype = "10") then
                        instr_s.bp := '0';