-- uart
bus_tx : out std_logic;
bus_rx : in std_logic;
+ led2 : out std_logic;
sseg0 : out std_logic_vector(0 to 6);
sseg1 : out std_logic_vector(0 to 6);
im_data => im_data,
--Data outputs
instruction => instruction_pin, --: out instruction_word_t
- prog_cnt => prog_cnt_pin
+ prog_cnt => prog_cnt_pin,
+ led2 => led2
);
decode_st : decode_stage
begin
- if sys_res = '0' then
+ if sys_res = '1' then
-- vers.result <= (others => '0');
-- vers.result_addr <= (others => '0');
-- vers.address <= (others => '0');
elsif rising_edge(sys_clk) then
-- vers <= vers_nxt;
- sync(1) <= sys_res;
+ sync(1) <= not sys_res;
for i in 2 to SYNC_STAGES loop
sync(i) <= sync(i - 1);
end loop;