ram: reducing instr- and dataram
[calu.git] / cpu / src / common_pkg.vhd
index a88336c7ec5f13f5ed8565761275a7bc2ec9be1f..76bb94d2314eeae1dcefcad8141768edd294c008 100755 (executable)
@@ -24,10 +24,10 @@ package common_pkg is
        constant REG_ZERO : gp_register_t := (others => '0');
 
        constant INSTR_ADDR_WIDTH       : INTEGER := 32;
-       constant PHYS_INSTR_ADDR_WIDTH  : INTEGER := 11;
+       constant PHYS_INSTR_ADDR_WIDTH  : INTEGER := 10;
        constant ROM_INSTR_ADDR_WIDTH : INTEGER := 7;
        constant REG_ADDR_WIDTH         : INTEGER := 4;
-       constant DATA_ADDR_WIDTH        : INTEGER := 11;
+       constant DATA_ADDR_WIDTH        : INTEGER := 10;
        constant PHYS_DATA_ADDR_WIDTH   : INTEGER := 32;
        
        constant NUM_OP_OPT_WIDTH       : INTEGER := 6;
@@ -64,6 +64,7 @@ package common_pkg is
        constant CARRY_OPT : integer := 2;
        constant BYTE_OPT : integer := 2;
        constant LDI_REPLACE_OPT : integer := 2;
+       constant PWREN_OPT : integer := 2;
 
        constant RIGHT_OPT : integer := 3;
        constant JMP_REG_OPT : integer := 3;
@@ -81,7 +82,7 @@ package common_pkg is
        constant UART_INT_EN_BIT : integer := 1;
        constant GLOBAL_INT_EN_BIT : integer := 0;
 
-       constant UART_INT_VECTOR : std_logic_vector(PHYS_INSTR_ADDR_WIDTH-1 downto 0) := "00000000001"; --integer := 1;
+       constant UART_INT_VECTOR : std_logic_vector(PHYS_INSTR_ADDR_WIDTH-1 downto 0) := (0 => '1', others => '0');
 
        type instruction_rec is record