Classic Timing Analyzer report for dt Fri Dec 17 12:27:19 2010 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition --------------------- ; Table of Contents ; --------------------- 1. Legal Notice 2. Classic Timing Analyzer Deprecation 3. Timing Analyzer Summary 4. Timing Analyzer Settings 5. Clock Settings Summary 6. Parallel Compilation 7. Clock Setup: 'sys_clk' 8. tsu 9. tco 10. th 11. Timing Analyzer Messages ---------------- ; Legal Notice ; ---------------- Copyright (C) 1991-2010 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. --------------------------------------- ; Classic Timing Analyzer Deprecation ; --------------------------------------- Classic Timing Analyzer will not be available in a future release of the Quartus II software. Use the TimeQuest Timing Analyzer to run timing analysis on your design. Convert all the project settings and the timing constraints to TimeQuest Timing Analyzer equivalents. +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Timing Analyzer Summary ; +------------------------------+-------+---------------+----------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+--------------+ ; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; +------------------------------+-------+---------------+----------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+--------------+ ; Worst-case tsu ; N/A ; None ; 18.965 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; -- ; sys_clk ; 0 ; ; Worst-case tco ; N/A ; None ; 10.165 ns ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int ; bus_tx ; sys_clk ; -- ; 0 ; ; Worst-case th ; N/A ; None ; -8.849 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[4] ; -- ; sys_clk ; 0 ; ; Clock Setup: 'sys_clk' ; N/A ; None ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; 0 ; ; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; +------------------------------+-------+---------------+----------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+--------------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------+ ; Timing Analyzer Settings ; +------------------------------------------------------------------------------------------------------+--------------------+------+----+-------------+ ; Option ; Setting ; From ; To ; Entity Name ; +------------------------------------------------------------------------------------------------------+--------------------+------+----+-------------+ ; Device Name ; EP1C12Q240C8 ; ; ; ; ; Timing Models ; Final ; ; ; ; ; Default hold multicycle ; Same as Multicycle ; ; ; ; ; Cut paths between unrelated clock domains ; On ; ; ; ; ; Cut off read during write signal paths ; On ; ; ; ; ; Cut off feedback from I/O pins ; On ; ; ; ; ; Report Combined Fast/Slow Timing ; Off ; ; ; ; ; Ignore Clock Settings ; Off ; ; ; ; ; Analyze latches as synchronous elements ; On ; ; ; ; ; Enable Recovery/Removal analysis ; Off ; ; ; ; ; Enable Clock Latency ; Off ; ; ; ; ; Use TimeQuest Timing Analyzer ; Off ; ; ; ; ; Number of source nodes to report per destination node ; 10 ; ; ; ; ; Number of destination nodes to report ; 10 ; ; ; ; ; Number of paths to report ; 200 ; ; ; ; ; Report Minimum Timing Checks ; Off ; ; ; ; ; Use Fast Timing Models ; Off ; ; ; ; ; Report IO Paths Separately ; Off ; ; ; ; ; Perform Multicorner Analysis ; Off ; ; ; ; ; Reports the worst-case path for each clock domain and analysis ; Off ; ; ; ; ; Reports worst-case timing paths for each clock domain and analysis ; On ; ; ; ; ; Specifies the maximum number of worst-case timing paths to report for each clock domain and analysis ; 100 ; ; ; ; ; Removes common clock path pessimism (CCPP) during slack computation ; Off ; ; ; ; +------------------------------------------------------------------------------------------------------+--------------------+------+----+-------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clock Settings Summary ; +-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ ; Clock Node Name ; Clock Setting Name ; Type ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ; +-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ ; sys_clk ; ; User Pin ; None ; 0.000 ns ; 0.000 ns ; -- ; N/A ; N/A ; N/A ; ; +-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. +-------------------------------------+ ; Parallel Compilation ; +----------------------------+--------+ ; Processors ; Number ; +----------------------------+--------+ ; Number detected on machine ; 2 ; ; Maximum allowed ; 1 ; +----------------------------+--------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clock Setup: 'sys_clk' ; +-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ ; Slack ; Actual fmax (period) ; From ; To ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ; +-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.884 ns ; ; N/A ; 46.56 MHz ( period = 21.477 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 20.783 ns ; ; N/A ; 46.56 MHz ( period = 21.477 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 20.783 ns ; ; N/A ; 46.56 MHz ( period = 21.477 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 20.783 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.89 MHz ( period = 21.327 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.633 ns ; ; N/A ; 46.97 MHz ( period = 21.291 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 21.030 ns ; ; N/A ; 46.97 MHz ( period = 21.291 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 21.030 ns ; ; N/A ; 46.97 MHz ( period = 21.291 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 21.030 ns ; ; N/A ; 46.97 MHz ( period = 21.291 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 21.030 ns ; ; N/A ; 46.97 MHz ( period = 21.291 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 21.030 ns ; ; N/A ; 46.97 MHz ( period = 21.291 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 21.030 ns ; ; N/A ; 46.97 MHz ( period = 21.291 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 21.030 ns ; ; N/A ; 47.00 MHz ( period = 21.277 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.583 ns ; ; N/A ; 47.00 MHz ( period = 21.277 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.583 ns ; ; N/A ; 47.00 MHz ( period = 21.277 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.583 ns ; ; N/A ; 47.11 MHz ( period = 21.226 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 20.532 ns ; ; N/A ; 47.11 MHz ( period = 21.226 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 20.532 ns ; ; N/A ; 47.11 MHz ( period = 21.226 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 20.532 ns ; ; N/A ; 47.19 MHz ( period = 21.190 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 20.929 ns ; ; N/A ; 47.56 MHz ( period = 21.026 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.332 ns ; ; N/A ; 47.56 MHz ( period = 21.026 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.332 ns ; ; N/A ; 47.56 MHz ( period = 21.026 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.332 ns ; ; N/A ; 47.58 MHz ( period = 21.019 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.758 ns ; ; N/A ; 47.58 MHz ( period = 21.019 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 20.758 ns ; ; N/A ; 47.58 MHz ( period = 21.019 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.758 ns ; ; N/A ; 47.58 MHz ( period = 21.019 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.758 ns ; ; N/A ; 47.58 MHz ( period = 21.019 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.758 ns ; ; N/A ; 47.58 MHz ( period = 21.019 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.758 ns ; ; N/A ; 47.58 MHz ( period = 21.019 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.758 ns ; ; N/A ; 47.64 MHz ( period = 20.990 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.729 ns ; ; N/A ; 47.81 MHz ( period = 20.918 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 20.657 ns ; ; N/A ; 48.27 MHz ( period = 20.718 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.457 ns ; ; N/A ; 48.45 MHz ( period = 20.640 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[17] ; sys_clk ; sys_clk ; None ; None ; 19.955 ns ; ; N/A ; 48.45 MHz ( period = 20.640 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[17] ; sys_clk ; sys_clk ; None ; None ; 19.955 ns ; ; N/A ; 48.45 MHz ( period = 20.640 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[17] ; sys_clk ; sys_clk ; None ; None ; 19.955 ns ; ; N/A ; 49.32 MHz ( period = 20.277 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[19] ; sys_clk ; sys_clk ; None ; None ; 19.583 ns ; ; N/A ; 49.32 MHz ( period = 20.277 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[19] ; sys_clk ; sys_clk ; None ; None ; 19.583 ns ; ; N/A ; 49.32 MHz ( period = 20.277 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[19] ; sys_clk ; sys_clk ; None ; None ; 19.583 ns ; ; N/A ; 49.37 MHz ( period = 20.256 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ; sys_clk ; sys_clk ; None ; None ; 19.562 ns ; ; N/A ; 49.37 MHz ( period = 20.256 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ; sys_clk ; sys_clk ; None ; None ; 19.562 ns ; ; N/A ; 49.37 MHz ( period = 20.256 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ; sys_clk ; sys_clk ; None ; None ; 19.562 ns ; ; N/A ; 49.37 MHz ( period = 20.255 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; sys_clk ; sys_clk ; None ; None ; 19.561 ns ; ; N/A ; 49.37 MHz ( period = 20.255 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; sys_clk ; sys_clk ; None ; None ; 19.561 ns ; ; N/A ; 49.37 MHz ( period = 20.255 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; sys_clk ; sys_clk ; None ; None ; 19.561 ns ; ; N/A ; 49.39 MHz ( period = 20.249 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; sys_clk ; sys_clk ; None ; None ; 19.555 ns ; ; N/A ; 49.39 MHz ( period = 20.249 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; sys_clk ; sys_clk ; None ; None ; 19.555 ns ; ; N/A ; 49.39 MHz ( period = 20.249 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; sys_clk ; sys_clk ; None ; None ; 19.555 ns ; ; N/A ; 49.40 MHz ( period = 20.242 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ; sys_clk ; sys_clk ; None ; None ; 19.481 ns ; ; N/A ; 49.40 MHz ( period = 20.242 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ; sys_clk ; sys_clk ; None ; None ; 19.481 ns ; ; N/A ; 49.40 MHz ( period = 20.242 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ; sys_clk ; sys_clk ; None ; None ; 19.481 ns ; ; N/A ; 49.46 MHz ( period = 20.220 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ; sys_clk ; sys_clk ; None ; None ; 19.959 ns ; ; N/A ; 49.46 MHz ( period = 20.219 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; sys_clk ; sys_clk ; None ; None ; 19.958 ns ; ; N/A ; 49.47 MHz ( period = 20.213 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; sys_clk ; sys_clk ; None ; None ; 19.952 ns ; ; N/A ; 49.48 MHz ( period = 20.211 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; execute_stage:exec_st|reg.result[17] ; sys_clk ; sys_clk ; None ; None ; 19.526 ns ; ; N/A ; 49.48 MHz ( period = 20.211 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; execute_stage:exec_st|reg.result[17] ; sys_clk ; sys_clk ; None ; None ; 19.526 ns ; ; N/A ; 49.48 MHz ( period = 20.211 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; execute_stage:exec_st|reg.result[17] ; sys_clk ; sys_clk ; None ; None ; 19.526 ns ; ; N/A ; 49.49 MHz ( period = 20.206 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ; sys_clk ; sys_clk ; None ; None ; 19.878 ns ; ; N/A ; 49.60 MHz ( period = 20.163 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ; sys_clk ; sys_clk ; None ; None ; 19.469 ns ; ; N/A ; 49.60 MHz ( period = 20.163 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ; sys_clk ; sys_clk ; None ; None ; 19.469 ns ; ; N/A ; 49.60 MHz ( period = 20.163 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ; sys_clk ; sys_clk ; None ; None ; 19.469 ns ; ; N/A ; 49.60 MHz ( period = 20.162 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; sys_clk ; sys_clk ; None ; None ; 19.468 ns ; ; N/A ; 49.60 MHz ( period = 20.162 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; sys_clk ; sys_clk ; None ; None ; 19.468 ns ; ; N/A ; 49.60 MHz ( period = 20.162 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; sys_clk ; sys_clk ; None ; None ; 19.468 ns ; ; N/A ; 49.61 MHz ( period = 20.156 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; sys_clk ; sys_clk ; None ; None ; 19.462 ns ; ; N/A ; 49.61 MHz ( period = 20.156 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; sys_clk ; sys_clk ; None ; None ; 19.462 ns ; ; N/A ; 49.61 MHz ( period = 20.156 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; sys_clk ; sys_clk ; None ; None ; 19.462 ns ; ; N/A ; 49.63 MHz ( period = 20.149 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ; sys_clk ; sys_clk ; None ; None ; 19.388 ns ; ; N/A ; 49.63 MHz ( period = 20.149 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ; sys_clk ; sys_clk ; None ; None ; 19.388 ns ; ; N/A ; 49.63 MHz ( period = 20.149 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ; sys_clk ; sys_clk ; None ; None ; 19.388 ns ; ; N/A ; 49.64 MHz ( period = 20.146 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 19.452 ns ; ; N/A ; 49.64 MHz ( period = 20.146 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 19.452 ns ; ; N/A ; 49.64 MHz ( period = 20.146 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 19.452 ns ; ; N/A ; 49.71 MHz ( period = 20.118 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; execute_stage:exec_st|reg.result[17] ; sys_clk ; sys_clk ; None ; None ; 19.866 ns ; ; N/A ; 49.92 MHz ( period = 20.032 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 19.771 ns ; ; N/A ; 49.92 MHz ( period = 20.032 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.771 ns ; ; N/A ; 49.92 MHz ( period = 20.032 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.771 ns ; ; N/A ; 49.92 MHz ( period = 20.032 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.771 ns ; ; N/A ; 49.92 MHz ( period = 20.032 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 19.771 ns ; ; N/A ; 49.92 MHz ( period = 20.032 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 19.771 ns ; ; N/A ; 49.92 MHz ( period = 20.032 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.771 ns ; ; N/A ; 49.95 MHz ( period = 20.019 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 19.758 ns ; ; N/A ; 49.95 MHz ( period = 20.019 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.758 ns ; ; N/A ; 49.95 MHz ( period = 20.019 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.758 ns ; ; N/A ; 49.95 MHz ( period = 20.019 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.758 ns ; ; N/A ; 49.95 MHz ( period = 20.019 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 19.758 ns ; ; N/A ; 49.95 MHz ( period = 20.019 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 19.758 ns ; ; N/A ; 49.95 MHz ( period = 20.019 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.758 ns ; ; N/A ; 50.14 MHz ( period = 19.943 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; execute_stage:exec_st|reg.result[17] ; sys_clk ; sys_clk ; None ; None ; 19.691 ns ; ; N/A ; 50.17 MHz ( period = 19.931 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.670 ns ; ; N/A ; 50.21 MHz ( period = 19.918 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.657 ns ; ; N/A ; 50.22 MHz ( period = 19.911 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[12] ; sys_clk ; sys_clk ; None ; None ; 19.150 ns ; ; N/A ; 50.22 MHz ( period = 19.911 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[12] ; sys_clk ; sys_clk ; None ; None ; 19.150 ns ; ; N/A ; 50.22 MHz ( period = 19.911 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[12] ; sys_clk ; sys_clk ; None ; None ; 19.150 ns ; ; N/A ; 50.26 MHz ( period = 19.895 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 19.201 ns ; ; N/A ; 50.26 MHz ( period = 19.895 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 19.201 ns ; ; N/A ; 50.26 MHz ( period = 19.895 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 19.201 ns ; ; N/A ; 50.28 MHz ( period = 19.888 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ; sys_clk ; sys_clk ; None ; None ; 19.627 ns ; ; N/A ; 50.28 MHz ( period = 19.887 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; sys_clk ; sys_clk ; None ; None ; 19.626 ns ; ; N/A ; 50.30 MHz ( period = 19.881 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; sys_clk ; sys_clk ; None ; None ; 19.620 ns ; ; N/A ; 50.32 MHz ( period = 19.874 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ; sys_clk ; sys_clk ; None ; None ; 19.546 ns ; ; N/A ; 50.32 MHz ( period = 19.871 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 19.601 ns ; ; N/A ; 50.32 MHz ( period = 19.871 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.601 ns ; ; N/A ; 50.32 MHz ( period = 19.871 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.601 ns ; ; N/A ; 50.32 MHz ( period = 19.871 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.601 ns ; ; N/A ; 50.32 MHz ( period = 19.871 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 19.601 ns ; ; N/A ; 50.32 MHz ( period = 19.871 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 19.601 ns ; ; N/A ; 50.32 MHz ( period = 19.871 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.601 ns ; ; N/A ; 50.36 MHz ( period = 19.859 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 19.598 ns ; ; N/A ; 50.48 MHz ( period = 19.808 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; sys_clk ; sys_clk ; None ; None ; 19.114 ns ; ; N/A ; 50.48 MHz ( period = 19.808 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; sys_clk ; sys_clk ; None ; None ; 19.114 ns ; ; N/A ; 50.48 MHz ( period = 19.808 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; sys_clk ; sys_clk ; None ; None ; 19.114 ns ; ; N/A ; 50.58 MHz ( period = 19.772 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; sys_clk ; sys_clk ; None ; None ; 19.511 ns ; ; N/A ; 50.58 MHz ( period = 19.770 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.500 ns ; ; N/A ; 50.63 MHz ( period = 19.753 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16] ; sys_clk ; sys_clk ; None ; None ; 19.059 ns ; ; N/A ; 50.63 MHz ( period = 19.753 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16] ; sys_clk ; sys_clk ; None ; None ; 19.059 ns ; ; N/A ; 50.63 MHz ( period = 19.753 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16] ; sys_clk ; sys_clk ; None ; None ; 19.059 ns ; ; N/A ; 50.68 MHz ( period = 19.731 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.470 ns ; ; N/A ; 50.69 MHz ( period = 19.729 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 19.468 ns ; ; N/A ; 50.69 MHz ( period = 19.729 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.468 ns ; ; N/A ; 50.69 MHz ( period = 19.729 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.468 ns ; ; N/A ; 50.69 MHz ( period = 19.729 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.468 ns ; ; N/A ; 50.69 MHz ( period = 19.729 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 19.468 ns ; ; N/A ; 50.69 MHz ( period = 19.729 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 19.468 ns ; ; N/A ; 50.69 MHz ( period = 19.729 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.468 ns ; ; N/A ; 50.72 MHz ( period = 19.718 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.457 ns ; ; N/A ; 50.72 MHz ( period = 19.717 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16] ; sys_clk ; sys_clk ; None ; None ; 19.456 ns ; ; N/A ; 50.72 MHz ( period = 19.715 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; sys_clk ; sys_clk ; None ; None ; 19.021 ns ; ; N/A ; 50.72 MHz ( period = 19.715 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; sys_clk ; sys_clk ; None ; None ; 19.021 ns ; ; N/A ; 50.72 MHz ( period = 19.715 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; sys_clk ; sys_clk ; None ; None ; 19.021 ns ; ; N/A ; 50.73 MHz ( period = 19.714 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 19.453 ns ; ; N/A ; 50.73 MHz ( period = 19.714 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.453 ns ; ; N/A ; 50.73 MHz ( period = 19.714 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.453 ns ; ; N/A ; 50.73 MHz ( period = 19.714 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.453 ns ; ; N/A ; 50.73 MHz ( period = 19.714 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 19.453 ns ; ; N/A ; 50.73 MHz ( period = 19.714 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 19.453 ns ; ; N/A ; 50.73 MHz ( period = 19.714 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.453 ns ; ; N/A ; 50.78 MHz ( period = 19.691 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; execute_stage:exec_st|reg.result[12] ; sys_clk ; sys_clk ; None ; None ; 19.363 ns ; ; N/A ; 50.86 MHz ( period = 19.660 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16] ; sys_clk ; sys_clk ; None ; None ; 18.966 ns ; ; N/A ; 50.86 MHz ( period = 19.660 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16] ; sys_clk ; sys_clk ; None ; None ; 18.966 ns ; ; N/A ; 50.86 MHz ( period = 19.660 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16] ; sys_clk ; sys_clk ; None ; None ; 18.966 ns ; ; N/A ; 50.87 MHz ( period = 19.658 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[10] ; sys_clk ; sys_clk ; None ; None ; 18.964 ns ; ; N/A ; 50.87 MHz ( period = 19.658 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[10] ; sys_clk ; sys_clk ; None ; None ; 18.964 ns ; ; N/A ; 50.87 MHz ( period = 19.658 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[10] ; sys_clk ; sys_clk ; None ; None ; 18.964 ns ; ; N/A ; 50.90 MHz ( period = 19.648 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; execute_stage:exec_st|reg.result[19] ; sys_clk ; sys_clk ; None ; None ; 19.387 ns ; ; N/A ; 50.95 MHz ( period = 19.628 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.367 ns ; ; N/A ; 50.97 MHz ( period = 19.621 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; execute_stage:exec_st|reg.result[10] ; sys_clk ; sys_clk ; None ; None ; 19.360 ns ; ; N/A ; 50.99 MHz ( period = 19.613 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.352 ns ; ; N/A ; 51.05 MHz ( period = 19.587 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 19.326 ns ; ; N/A ; 51.10 MHz ( period = 19.570 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.300 ns ; ; N/A ; 51.39 MHz ( period = 19.460 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 19.199 ns ; ; N/A ; 51.39 MHz ( period = 19.460 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.199 ns ; ; N/A ; 51.39 MHz ( period = 19.460 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.199 ns ; ; N/A ; 51.39 MHz ( period = 19.460 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.199 ns ; ; N/A ; 51.39 MHz ( period = 19.460 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 19.199 ns ; ; N/A ; 51.39 MHz ( period = 19.460 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 19.199 ns ; ; N/A ; 51.39 MHz ( period = 19.460 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.199 ns ; ; N/A ; 51.44 MHz ( period = 19.440 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; sys_clk ; sys_clk ; None ; None ; 19.179 ns ; ; N/A ; 51.47 MHz ( period = 19.428 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.167 ns ; ; N/A ; 51.51 MHz ( period = 19.413 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.152 ns ; ; N/A ; 51.55 MHz ( period = 19.400 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[7] ; sys_clk ; sys_clk ; None ; None ; 18.639 ns ; ; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ; +-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ +---------------------------------------------------------------------------------------------------------------------------+ ; tsu ; +-------+--------------+------------+---------+------------------------------------------------------------------+----------+ ; Slack ; Required tsu ; Actual tsu ; From ; To ; To Clock ; +-------+--------------+------------+---------+------------------------------------------------------------------+----------+ ; N/A ; None ; 18.965 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25] ; sys_clk ; ; N/A ; None ; 18.965 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; sys_clk ; ; N/A ; None ; 18.960 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21] ; sys_clk ; ; N/A ; None ; 18.960 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9] ; sys_clk ; ; N/A ; None ; 18.958 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[16] ; sys_clk ; ; N/A ; None ; 17.463 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26] ; sys_clk ; ; N/A ; None ; 16.832 ns ; sys_res ; execute_stage:exec_st|reg.result[2] ; sys_clk ; ; N/A ; None ; 14.582 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[2] ; sys_clk ; ; N/A ; None ; 14.522 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6] ; sys_clk ; ; N/A ; None ; 14.522 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5] ; sys_clk ; ; N/A ; None ; 14.521 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7] ; sys_clk ; ; N/A ; None ; 14.516 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[0] ; sys_clk ; ; N/A ; None ; 14.493 ns ; sys_res ; execute_stage:exec_st|reg.result[9] ; sys_clk ; ; N/A ; None ; 14.024 ns ; sys_res ; execute_stage:exec_st|reg.result[1] ; sys_clk ; ; N/A ; None ; 13.946 ns ; sys_res ; execute_stage:exec_st|reg.result[23] ; sys_clk ; ; N/A ; None ; 13.872 ns ; sys_res ; execute_stage:exec_st|reg.result[27] ; sys_clk ; ; N/A ; None ; 13.847 ns ; sys_res ; execute_stage:exec_st|reg.result[15] ; sys_clk ; ; N/A ; None ; 13.783 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28] ; sys_clk ; ; N/A ; None ; 13.783 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29] ; sys_clk ; ; N/A ; None ; 13.761 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23] ; sys_clk ; ; N/A ; None ; 13.761 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20] ; sys_clk ; ; N/A ; None ; 13.761 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21] ; sys_clk ; ; N/A ; None ; 13.761 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22] ; sys_clk ; ; N/A ; None ; 13.711 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1] ; sys_clk ; ; N/A ; None ; 13.711 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11] ; sys_clk ; ; N/A ; None ; 13.661 ns ; sys_res ; execute_stage:exec_st|reg.result[4] ; sys_clk ; ; N/A ; None ; 13.515 ns ; sys_res ; execute_stage:exec_st|reg.result[29] ; sys_clk ; ; N/A ; None ; 13.515 ns ; sys_res ; execute_stage:exec_st|reg.result[30] ; sys_clk ; ; N/A ; None ; 13.480 ns ; sys_res ; execute_stage:exec_st|reg.result[14] ; sys_clk ; ; N/A ; None ; 13.442 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19] ; sys_clk ; ; N/A ; None ; 13.442 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16] ; sys_clk ; ; N/A ; None ; 13.442 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15] ; sys_clk ; ; N/A ; None ; 13.442 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18] ; sys_clk ; ; N/A ; None ; 13.410 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27] ; sys_clk ; ; N/A ; None ; 13.394 ns ; sys_res ; execute_stage:exec_st|reg.result[5] ; sys_clk ; ; N/A ; None ; 13.358 ns ; sys_res ; execute_stage:exec_st|reg.result[26] ; sys_clk ; ; N/A ; None ; 13.319 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3] ; sys_clk ; ; N/A ; None ; 13.319 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2] ; sys_clk ; ; N/A ; None ; 13.239 ns ; sys_res ; execute_stage:exec_st|reg.result[6] ; sys_clk ; ; N/A ; None ; 13.166 ns ; sys_res ; execute_stage:exec_st|reg.result[22] ; sys_clk ; ; N/A ; None ; 13.127 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; ; N/A ; None ; 13.127 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; ; N/A ; None ; 13.127 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; ; N/A ; None ; 13.127 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; ; N/A ; None ; 13.127 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; ; N/A ; None ; 13.127 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; ; N/A ; None ; 13.127 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; ; N/A ; None ; 13.121 ns ; sys_res ; execute_stage:exec_st|reg.result[11] ; sys_clk ; ; N/A ; None ; 13.109 ns ; sys_res ; execute_stage:exec_st|reg.result[25] ; sys_clk ; ; N/A ; None ; 13.015 ns ; sys_res ; execute_stage:exec_st|reg.result[31] ; sys_clk ; ; N/A ; None ; 12.971 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13] ; sys_clk ; ; N/A ; None ; 12.971 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12] ; sys_clk ; ; N/A ; None ; 12.971 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17] ; sys_clk ; ; N/A ; None ; 12.971 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14] ; sys_clk ; ; N/A ; None ; 12.931 ns ; sys_res ; execute_stage:exec_st|reg.result[8] ; sys_clk ; ; N/A ; None ; 12.853 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4] ; sys_clk ; ; N/A ; None ; 12.826 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; ; N/A ; None ; 12.762 ns ; sys_res ; execute_stage:exec_st|reg.result[16] ; sys_clk ; ; N/A ; None ; 12.742 ns ; sys_res ; execute_stage:exec_st|reg.result[7] ; sys_clk ; ; N/A ; None ; 12.687 ns ; sys_res ; execute_stage:exec_st|reg.result[28] ; sys_clk ; ; N/A ; None ; 12.680 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; sys_clk ; ; N/A ; None ; 12.550 ns ; sys_res ; execute_stage:exec_st|reg.result[24] ; sys_clk ; ; N/A ; None ; 12.445 ns ; sys_res ; execute_stage:exec_st|reg.result[10] ; sys_clk ; ; N/A ; None ; 12.418 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; ; N/A ; None ; 12.396 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10] ; sys_clk ; ; N/A ; None ; 12.396 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9] ; sys_clk ; ; N/A ; None ; 12.396 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8] ; sys_clk ; ; N/A ; None ; 12.184 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[5] ; sys_clk ; ; N/A ; None ; 12.152 ns ; sys_res ; execute_stage:exec_st|reg.result[0] ; sys_clk ; ; N/A ; None ; 12.140 ns ; sys_res ; execute_stage:exec_st|reg.result[18] ; sys_clk ; ; N/A ; None ; 12.139 ns ; sys_res ; execute_stage:exec_st|reg.result[12] ; sys_clk ; ; N/A ; None ; 12.113 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24] ; sys_clk ; ; N/A ; None ; 12.113 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25] ; sys_clk ; ; N/A ; None ; 12.108 ns ; sys_res ; execute_stage:exec_st|reg.result[20] ; sys_clk ; ; N/A ; None ; 11.975 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[10] ; sys_clk ; ; N/A ; None ; 11.925 ns ; sys_res ; execute_stage:exec_st|reg.result[3] ; sys_clk ; ; N/A ; None ; 11.648 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; ; N/A ; None ; 11.375 ns ; sys_res ; execute_stage:exec_st|reg.result[19] ; sys_clk ; ; N/A ; None ; 11.324 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0] ; sys_clk ; ; N/A ; None ; 11.324 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26] ; sys_clk ; ; N/A ; None ; 11.234 ns ; sys_res ; execute_stage:exec_st|reg.result[17] ; sys_clk ; ; N/A ; None ; 11.169 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[8] ; sys_clk ; ; N/A ; None ; 11.158 ns ; sys_res ; execute_stage:exec_st|reg.result[21] ; sys_clk ; ; N/A ; None ; 11.092 ns ; sys_res ; execute_stage:exec_st|reg.result[13] ; sys_clk ; ; N/A ; None ; 10.824 ns ; sys_res ; execute_stage:exec_st|reg.wr_en ; sys_clk ; ; N/A ; None ; 10.819 ns ; sys_res ; execute_stage:exec_st|reg.alu_jump ; sys_clk ; ; N/A ; None ; 10.809 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[6] ; sys_clk ; ; N/A ; None ; 10.784 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry ; sys_clk ; ; N/A ; None ; 10.648 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_en ; sys_clk ; ; N/A ; None ; 9.786 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[7] ; sys_clk ; ; N/A ; None ; 9.782 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[9] ; sys_clk ; ; N/A ; None ; 9.296 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[3] ; sys_clk ; ; N/A ; None ; 9.295 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[1] ; sys_clk ; ; N/A ; None ; 8.901 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[4] ; sys_clk ; +-------+--------------+------------+---------+------------------------------------------------------------------+----------+ +----------------------------------------------------------------------------------------------------------------------------------------------+ ; tco ; +-------+--------------+------------+------------------------------------------------------------------------------------+--------+------------+ ; Slack ; Required tco ; Actual tco ; From ; To ; From Clock ; +-------+--------------+------------+------------------------------------------------------------------------------------+--------+------------+ ; N/A ; None ; 10.165 ns ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int ; bus_tx ; sys_clk ; +-------+--------------+------------+------------------------------------------------------------------------------------+--------+------------+ +----------------------------------------------------------------------------------------------------------------------------------+ ; th ; +---------------+-------------+------------+---------+------------------------------------------------------------------+----------+ ; Minimum Slack ; Required th ; Actual th ; From ; To ; To Clock ; +---------------+-------------+------------+---------+------------------------------------------------------------------+----------+ ; N/A ; None ; -8.849 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[4] ; sys_clk ; ; N/A ; None ; -9.173 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26] ; sys_clk ; ; N/A ; None ; -9.243 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[1] ; sys_clk ; ; N/A ; None ; -9.244 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[3] ; sys_clk ; ; N/A ; None ; -9.730 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[9] ; sys_clk ; ; N/A ; None ; -9.734 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[7] ; sys_clk ; ; N/A ; None ; -10.596 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_en ; sys_clk ; ; N/A ; None ; -10.732 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry ; sys_clk ; ; N/A ; None ; -10.757 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[6] ; sys_clk ; ; N/A ; None ; -10.767 ns ; sys_res ; execute_stage:exec_st|reg.alu_jump ; sys_clk ; ; N/A ; None ; -10.772 ns ; sys_res ; execute_stage:exec_st|reg.wr_en ; sys_clk ; ; N/A ; None ; -11.019 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25] ; sys_clk ; ; N/A ; None ; -11.020 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[16] ; sys_clk ; ; N/A ; None ; -11.021 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; sys_clk ; ; N/A ; None ; -11.021 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9] ; sys_clk ; ; N/A ; None ; -11.040 ns ; sys_res ; execute_stage:exec_st|reg.result[13] ; sys_clk ; ; N/A ; None ; -11.106 ns ; sys_res ; execute_stage:exec_st|reg.result[21] ; sys_clk ; ; N/A ; None ; -11.117 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[8] ; sys_clk ; ; N/A ; None ; -11.182 ns ; sys_res ; execute_stage:exec_st|reg.result[17] ; sys_clk ; ; N/A ; None ; -11.272 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0] ; sys_clk ; ; N/A ; None ; -11.272 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26] ; sys_clk ; ; N/A ; None ; -11.323 ns ; sys_res ; execute_stage:exec_st|reg.result[19] ; sys_clk ; ; N/A ; None ; -11.327 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21] ; sys_clk ; ; N/A ; None ; -11.596 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; ; N/A ; None ; -11.873 ns ; sys_res ; execute_stage:exec_st|reg.result[3] ; sys_clk ; ; N/A ; None ; -11.923 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[10] ; sys_clk ; ; N/A ; None ; -12.056 ns ; sys_res ; execute_stage:exec_st|reg.result[20] ; sys_clk ; ; N/A ; None ; -12.061 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24] ; sys_clk ; ; N/A ; None ; -12.061 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25] ; sys_clk ; ; N/A ; None ; -12.087 ns ; sys_res ; execute_stage:exec_st|reg.result[12] ; sys_clk ; ; N/A ; None ; -12.088 ns ; sys_res ; execute_stage:exec_st|reg.result[18] ; sys_clk ; ; N/A ; None ; -12.100 ns ; sys_res ; execute_stage:exec_st|reg.result[0] ; sys_clk ; ; N/A ; None ; -12.132 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[5] ; sys_clk ; ; N/A ; None ; -12.344 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10] ; sys_clk ; ; N/A ; None ; -12.344 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9] ; sys_clk ; ; N/A ; None ; -12.344 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8] ; sys_clk ; ; N/A ; None ; -12.366 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; ; N/A ; None ; -12.393 ns ; sys_res ; execute_stage:exec_st|reg.result[10] ; sys_clk ; ; N/A ; None ; -12.498 ns ; sys_res ; execute_stage:exec_st|reg.result[24] ; sys_clk ; ; N/A ; None ; -12.628 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; sys_clk ; ; N/A ; None ; -12.635 ns ; sys_res ; execute_stage:exec_st|reg.result[28] ; sys_clk ; ; N/A ; None ; -12.690 ns ; sys_res ; execute_stage:exec_st|reg.result[7] ; sys_clk ; ; N/A ; None ; -12.710 ns ; sys_res ; execute_stage:exec_st|reg.result[16] ; sys_clk ; ; N/A ; None ; -12.730 ns ; sys_res ; execute_stage:exec_st|reg.result[1] ; sys_clk ; ; N/A ; None ; -12.774 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; ; N/A ; None ; -12.801 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4] ; sys_clk ; ; N/A ; None ; -12.879 ns ; sys_res ; execute_stage:exec_st|reg.result[8] ; sys_clk ; ; N/A ; None ; -12.919 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13] ; sys_clk ; ; N/A ; None ; -12.919 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12] ; sys_clk ; ; N/A ; None ; -12.919 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17] ; sys_clk ; ; N/A ; None ; -12.919 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14] ; sys_clk ; ; N/A ; None ; -12.963 ns ; sys_res ; execute_stage:exec_st|reg.result[31] ; sys_clk ; ; N/A ; None ; -13.057 ns ; sys_res ; execute_stage:exec_st|reg.result[25] ; sys_clk ; ; N/A ; None ; -13.069 ns ; sys_res ; execute_stage:exec_st|reg.result[11] ; sys_clk ; ; N/A ; None ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; ; N/A ; None ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; ; N/A ; None ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; ; N/A ; None ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; ; N/A ; None ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; ; N/A ; None ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; ; N/A ; None ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; ; N/A ; None ; -13.114 ns ; sys_res ; execute_stage:exec_st|reg.result[22] ; sys_clk ; ; N/A ; None ; -13.187 ns ; sys_res ; execute_stage:exec_st|reg.result[6] ; sys_clk ; ; N/A ; None ; -13.267 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3] ; sys_clk ; ; N/A ; None ; -13.267 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2] ; sys_clk ; ; N/A ; None ; -13.306 ns ; sys_res ; execute_stage:exec_st|reg.result[26] ; sys_clk ; ; N/A ; None ; -13.342 ns ; sys_res ; execute_stage:exec_st|reg.result[5] ; sys_clk ; ; N/A ; None ; -13.358 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27] ; sys_clk ; ; N/A ; None ; -13.390 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19] ; sys_clk ; ; N/A ; None ; -13.390 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16] ; sys_clk ; ; N/A ; None ; -13.390 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15] ; sys_clk ; ; N/A ; None ; -13.390 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18] ; sys_clk ; ; N/A ; None ; -13.428 ns ; sys_res ; execute_stage:exec_st|reg.result[14] ; sys_clk ; ; N/A ; None ; -13.463 ns ; sys_res ; execute_stage:exec_st|reg.result[29] ; sys_clk ; ; N/A ; None ; -13.463 ns ; sys_res ; execute_stage:exec_st|reg.result[30] ; sys_clk ; ; N/A ; None ; -13.609 ns ; sys_res ; execute_stage:exec_st|reg.result[4] ; sys_clk ; ; N/A ; None ; -13.659 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1] ; sys_clk ; ; N/A ; None ; -13.659 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11] ; sys_clk ; ; N/A ; None ; -13.709 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23] ; sys_clk ; ; N/A ; None ; -13.709 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20] ; sys_clk ; ; N/A ; None ; -13.709 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21] ; sys_clk ; ; N/A ; None ; -13.709 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22] ; sys_clk ; ; N/A ; None ; -13.731 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28] ; sys_clk ; ; N/A ; None ; -13.731 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29] ; sys_clk ; ; N/A ; None ; -13.795 ns ; sys_res ; execute_stage:exec_st|reg.result[15] ; sys_clk ; ; N/A ; None ; -13.820 ns ; sys_res ; execute_stage:exec_st|reg.result[27] ; sys_clk ; ; N/A ; None ; -13.894 ns ; sys_res ; execute_stage:exec_st|reg.result[23] ; sys_clk ; ; N/A ; None ; -14.441 ns ; sys_res ; execute_stage:exec_st|reg.result[9] ; sys_clk ; ; N/A ; None ; -14.464 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[0] ; sys_clk ; ; N/A ; None ; -14.469 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7] ; sys_clk ; ; N/A ; None ; -14.470 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6] ; sys_clk ; ; N/A ; None ; -14.470 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5] ; sys_clk ; ; N/A ; None ; -14.530 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[2] ; sys_clk ; ; N/A ; None ; -15.539 ns ; sys_res ; execute_stage:exec_st|reg.result[2] ; sys_clk ; +---------------+-------------+------------+---------+------------------------------------------------------------------+----------+ +--------------------------+ ; Timing Analyzer Messages ; +--------------------------+ Info: ******************************************************************* Info: Running Quartus II Classic Timing Analyzer Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition Info: Processing started: Fri Dec 17 12:27:18 2010 Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off dt -c dt --timing_analysis_only Warning: Classic Timing Analyzer will not be available in a future release of the Quartus II software. Use the TimeQuest Timing Analyzer to run timing analysis on your design. Convert all the project settings and the timing constraints to TimeQuest Timing Analyzer equivalents. Warning: Found pins functioning as undefined clocks and/or memory enables Info: Assuming node "sys_clk" is an undefined clock Info: Clock "sys_clk" has Internal fmax of 46.34 MHz between source memory "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0" and destination register "writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2]" (period= 21.578 ns) Info: + Longest memory to register delay is 20.884 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X33_Y14; Fanout = 32; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0' Info: 2: + IC(0.000 ns) + CELL(4.317 ns) = 4.317 ns; Loc. = M4K_X33_Y14; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a7' Info: 3: + IC(1.221 ns) + CELL(0.114 ns) = 5.652 ns; Loc. = LC_X35_Y16_N2; Fanout = 2; COMB Node = 'execute_stage:exec_st|right_operand[7]~17' Info: 4: + IC(1.274 ns) + CELL(0.114 ns) = 7.040 ns; Loc. = LC_X36_Y15_N7; Fanout = 4; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~COMBOUT' Info: 5: + IC(1.641 ns) + CELL(0.423 ns) = 9.104 ns; Loc. = LC_X32_Y16_N1; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~29' Info: 6: + IC(0.000 ns) + CELL(0.078 ns) = 9.182 ns; Loc. = LC_X32_Y16_N2; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~19' Info: 7: + IC(0.000 ns) + CELL(0.078 ns) = 9.260 ns; Loc. = LC_X32_Y16_N3; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~34' Info: 8: + IC(0.000 ns) + CELL(0.178 ns) = 9.438 ns; Loc. = LC_X32_Y16_N4; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~54' Info: 9: + IC(0.000 ns) + CELL(0.208 ns) = 9.646 ns; Loc. = LC_X32_Y16_N9; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~89' Info: 10: + IC(0.000 ns) + CELL(0.679 ns) = 10.325 ns; Loc. = LC_X32_Y15_N0; Fanout = 3; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~102' Info: 11: + IC(1.125 ns) + CELL(0.564 ns) = 12.014 ns; Loc. = LC_X31_Y15_N0; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[16]~102' Info: 12: + IC(0.000 ns) + CELL(0.078 ns) = 12.092 ns; Loc. = LC_X31_Y15_N1; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[17]~107' Info: 13: + IC(0.000 ns) + CELL(0.078 ns) = 12.170 ns; Loc. = LC_X31_Y15_N2; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[18]~112' Info: 14: + IC(0.000 ns) + CELL(0.078 ns) = 12.248 ns; Loc. = LC_X31_Y15_N3; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[19]~92' Info: 15: + IC(0.000 ns) + CELL(0.178 ns) = 12.426 ns; Loc. = LC_X31_Y15_N4; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[20]~117' Info: 16: + IC(0.000 ns) + CELL(0.208 ns) = 12.634 ns; Loc. = LC_X31_Y15_N9; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[25]~142' Info: 17: + IC(0.000 ns) + CELL(0.679 ns) = 13.313 ns; Loc. = LC_X31_Y14_N2; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[28]~65' Info: 18: + IC(1.677 ns) + CELL(0.442 ns) = 15.432 ns; Loc. = LC_X36_Y12_N1; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector46~0' Info: 19: + IC(1.252 ns) + CELL(0.114 ns) = 16.798 ns; Loc. = LC_X36_Y16_N7; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector46~1' Info: 20: + IC(1.221 ns) + CELL(0.114 ns) = 18.133 ns; Loc. = LC_X36_Y15_N5; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~3' Info: 21: + IC(0.418 ns) + CELL(0.114 ns) = 18.665 ns; Loc. = LC_X36_Y15_N0; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~4' Info: 22: + IC(0.182 ns) + CELL(0.114 ns) = 18.961 ns; Loc. = LC_X36_Y15_N1; Fanout = 5; COMB Node = 'writeback_stage:writeback_st|Equal0~8' Info: 23: + IC(0.182 ns) + CELL(0.114 ns) = 19.257 ns; Loc. = LC_X36_Y15_N2; Fanout = 8; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~0' Info: 24: + IC(0.760 ns) + CELL(0.867 ns) = 20.884 ns; Loc. = LC_X37_Y15_N7; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2]' Info: Total cell delay = 9.931 ns ( 47.55 % ) Info: Total interconnect delay = 10.953 ns ( 52.45 % ) Info: - Smallest clock skew is -0.007 ns Info: + Shortest clock path from clock "sys_clk" to destination register is 3.178 ns Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk' Info: 2: + IC(0.998 ns) + CELL(0.711 ns) = 3.178 ns; Loc. = LC_X37_Y15_N7; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2]' Info: Total cell delay = 2.180 ns ( 68.60 % ) Info: Total interconnect delay = 0.998 ns ( 31.40 % ) Info: - Longest clock path from clock "sys_clk" to source memory is 3.185 ns Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk' Info: 2: + IC(0.998 ns) + CELL(0.718 ns) = 3.185 ns; Loc. = M4K_X33_Y14; Fanout = 32; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0' Info: Total cell delay = 2.187 ns ( 68.67 % ) Info: Total interconnect delay = 0.998 ns ( 31.33 % ) Info: + Micro clock to output delay of source is 0.650 ns Info: + Micro setup delay of destination is 0.037 ns Info: tsu for register "fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25]" (data pin = "sys_res", clock pin = "sys_clk") is 18.965 ns Info: + Longest pin to register delay is 22.115 ns Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_42; Fanout = 205; PIN Node = 'sys_res' Info: 2: + IC(9.029 ns) + CELL(0.114 ns) = 10.612 ns; Loc. = LC_X38_Y14_N6; Fanout = 10; COMB Node = 'fetch_stage:fetch_st|instr_r_addr_nxt[6]~3' Info: 3: + IC(0.479 ns) + CELL(0.114 ns) = 11.205 ns; Loc. = LC_X38_Y14_N3; Fanout = 8; COMB Node = 'fetch_stage:fetch_st|instr_r_addr_nxt[0]~20' Info: 4: + IC(3.612 ns) + CELL(0.442 ns) = 15.259 ns; Loc. = LC_X29_Y17_N2; Fanout = 2; COMB Node = 'fetch_stage:fetch_st|instr_r_addr_nxt[2]~11' Info: 5: + IC(1.961 ns) + CELL(0.442 ns) = 17.662 ns; Loc. = LC_X35_Y16_N6; Fanout = 1; COMB Node = 'fetch_stage:fetch_st|r_w_ram:instruction_ram|Equal0~1' Info: 6: + IC(1.703 ns) + CELL(0.292 ns) = 19.657 ns; Loc. = LC_X38_Y14_N4; Fanout = 6; COMB Node = 'fetch_stage:fetch_st|r_w_ram:instruction_ram|Equal0~2' Info: 7: + IC(2.149 ns) + CELL(0.309 ns) = 22.115 ns; Loc. = LC_X35_Y19_N2; Fanout = 25; REG Node = 'fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25]' Info: Total cell delay = 3.182 ns ( 14.39 % ) Info: Total interconnect delay = 18.933 ns ( 85.61 % ) Info: + Micro setup delay of destination is 0.037 ns Info: - Shortest clock path from clock "sys_clk" to destination register is 3.187 ns Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk' Info: 2: + IC(1.007 ns) + CELL(0.711 ns) = 3.187 ns; Loc. = LC_X35_Y19_N2; Fanout = 25; REG Node = 'fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25]' Info: Total cell delay = 2.180 ns ( 68.40 % ) Info: Total interconnect delay = 1.007 ns ( 31.60 % ) Info: tco from clock "sys_clk" to destination pin "bus_tx" through register "writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int" is 10.165 ns Info: + Longest clock path from clock "sys_clk" to source register is 3.111 ns Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk' Info: 2: + IC(0.931 ns) + CELL(0.711 ns) = 3.111 ns; Loc. = LC_X32_Y9_N7; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int' Info: Total cell delay = 2.180 ns ( 70.07 % ) Info: Total interconnect delay = 0.931 ns ( 29.93 % ) Info: + Micro clock to output delay of source is 0.224 ns Info: + Longest register to pin delay is 6.830 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X32_Y9_N7; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int' Info: 2: + IC(4.706 ns) + CELL(2.124 ns) = 6.830 ns; Loc. = PIN_166; Fanout = 0; PIN Node = 'bus_tx' Info: Total cell delay = 2.124 ns ( 31.10 % ) Info: Total interconnect delay = 4.706 ns ( 68.90 % ) Info: th for register "fetch_stage:fetch_st|instr_r_addr[4]" (data pin = "sys_res", clock pin = "sys_clk") is -8.849 ns Info: + Longest clock path from clock "sys_clk" to destination register is 3.178 ns Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk' Info: 2: + IC(0.998 ns) + CELL(0.711 ns) = 3.178 ns; Loc. = LC_X39_Y14_N4; Fanout = 3; REG Node = 'fetch_stage:fetch_st|instr_r_addr[4]' Info: Total cell delay = 2.180 ns ( 68.60 % ) Info: Total interconnect delay = 0.998 ns ( 31.40 % ) Info: + Micro hold delay of destination is 0.015 ns Info: - Shortest pin to register delay is 12.042 ns Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_42; Fanout = 205; PIN Node = 'sys_res' Info: 2: + IC(9.029 ns) + CELL(0.114 ns) = 10.612 ns; Loc. = LC_X38_Y14_N6; Fanout = 10; COMB Node = 'fetch_stage:fetch_st|instr_r_addr_nxt[6]~3' Info: 3: + IC(0.482 ns) + CELL(0.114 ns) = 11.208 ns; Loc. = LC_X38_Y14_N0; Fanout = 2; COMB Node = 'fetch_stage:fetch_st|instr_r_addr_nxt[4]~14' Info: 4: + IC(0.719 ns) + CELL(0.115 ns) = 12.042 ns; Loc. = LC_X39_Y14_N4; Fanout = 3; REG Node = 'fetch_stage:fetch_st|instr_r_addr[4]' Info: Total cell delay = 1.812 ns ( 15.05 % ) Info: Total interconnect delay = 10.230 ns ( 84.95 % ) Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 2 warnings Info: Peak virtual memory: 189 megabytes Info: Processing ended: Fri Dec 17 12:27:19 2010 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01