Fitter report for dt Thu Dec 16 16:54:58 2010 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition --------------------- ; Table of Contents ; --------------------- 1. Legal Notice 2. Fitter Summary 3. Fitter Settings 4. Parallel Compilation 5. Incremental Compilation Preservation Summary 6. Incremental Compilation Partition Settings 7. Incremental Compilation Placement Preservation 8. Pin-Out File 9. Fitter Resource Usage Summary 10. Fitter Partition Statistics 11. Input Pins 12. Output Pins 13. I/O Bank Usage 14. All Package Pins 15. Output Pin Default Load For Reported TCO 16. Fitter Resource Utilization by Entity 17. Delay Chain Summary 18. Pad To Core Delay Chain Fanout 19. Control Signals 20. Global & Other Fast Signals 21. Non-Global High Fan-Out Signals 22. Fitter RAM Summary 23. Interconnect Usage Summary 24. LAB Logic Elements 25. LAB-wide Signals 26. LAB Signals Sourced 27. LAB Signals Sourced Out 28. LAB Distinct Inputs 29. Fitter Device Options 30. Estimated Delay Added for Hold Timing Summary 31. Estimated Delay Added for Hold Timing Details 32. Fitter Messages ---------------- ; Legal Notice ; ---------------- Copyright (C) 1991-2010 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. +-----------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+-----------------------------------------------+ ; Fitter Status ; Successful - Thu Dec 16 16:54:57 2010 ; ; Quartus II Version ; 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition ; ; Revision Name ; dt ; ; Top-level Entity Name ; core_top ; ; Family ; Cyclone ; ; Device ; EP1C12Q240C8 ; ; Timing Models ; Final ; ; Total logic elements ; 398 / 12,060 ( 3 % ) ; ; Total pins ; 2 / 173 ( 1 % ) ; ; Total virtual pins ; 0 ; ; Total memory bits ; 512 / 239,616 ( < 1 % ) ; ; Total PLLs ; 0 / 2 ( 0 % ) ; +-----------------------+-----------------------------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter Settings ; +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ ; Option ; Setting ; Default Value ; +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ ; Device ; EP1C12Q240C8 ; ; ; Fit Attempts to Skip ; 0 ; 0.0 ; ; Device I/O Standard ; 3.3-V LVCMOS ; ; ; Use smart compilation ; Off ; Off ; ; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; ; Enable compact report table ; Off ; Off ; ; Use TimeQuest Timing Analyzer ; Off ; Off ; ; Router Timing Optimization Level ; Normal ; Normal ; ; Placement Effort Multiplier ; 1.0 ; 1.0 ; ; Router Effort Multiplier ; 1.0 ; 1.0 ; ; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; ; Optimize Multi-Corner Timing ; Off ; Off ; ; Optimize Timing ; Normal compilation ; Normal compilation ; ; Optimize Timing for ECOs ; Off ; Off ; ; Regenerate full fit report during ECO compiles ; Off ; Off ; ; Optimize IOC Register Placement for Timing ; Normal ; Normal ; ; Limit to One Fitting Attempt ; Off ; Off ; ; Final Placement Optimizations ; Automatically ; Automatically ; ; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; ; Fitter Initial Placement Seed ; 1 ; 1 ; ; Slow Slew Rate ; Off ; Off ; ; PCI I/O ; Off ; Off ; ; Weak Pull-Up Resistor ; Off ; Off ; ; Enable Bus-Hold Circuitry ; Off ; Off ; ; Auto Global Memory Control Signals ; Off ; Off ; ; Auto Packed Registers ; Auto ; Auto ; ; Auto Delay Chains ; On ; On ; ; Auto Merge PLLs ; On ; On ; ; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; ; Perform Register Duplication for Performance ; Off ; Off ; ; Perform Register Retiming for Performance ; Off ; Off ; ; Perform Asynchronous Signal Pipelining ; Off ; Off ; ; Fitter Effort ; Auto Fit ; Auto Fit ; ; Physical Synthesis Effort Level ; Normal ; Normal ; ; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; ; Auto Register Duplication ; Auto ; Auto ; ; Auto Global Clock ; On ; On ; ; Auto Global Register Control Signals ; On ; On ; ; Stop After Congestion Map Generation ; Off ; Off ; ; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. +-------------------------------------+ ; Parallel Compilation ; +----------------------------+--------+ ; Processors ; Number ; +----------------------------+--------+ ; Number detected on machine ; 2 ; ; Maximum allowed ; 1 ; +----------------------------+--------+ +----------------------------------------------+ ; Incremental Compilation Preservation Summary ; +---------------------+------------------------+ ; Type ; Value ; +---------------------+------------------------+ ; Placement (by node) ; ; ; -- Requested ; 0 / 466 ( 0.00 % ) ; ; -- Achieved ; 0 / 466 ( 0.00 % ) ; ; ; ; ; Routing (by net) ; ; ; -- Requested ; 0 / 0 ( 0.00 % ) ; ; -- Achieved ; 0 / 0 ( 0.00 % ) ; +---------------------+------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Incremental Compilation Partition Settings ; +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ ; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ ; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +------------------------------------------------------------------------------------------------------------+ ; Incremental Compilation Placement Preservation ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ ; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ ; Top ; 464 ; 0 ; N/A ; Source File ; ; hard_block:auto_generated_inst ; 2 ; 0 ; N/A ; Source File ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ +--------------+ ; Pin-Out File ; +--------------+ The pin-out file can be found in /homes/burban/calu/dt/dt.pin. +-------------------------------------------------------------------------------------------+ ; Fitter Resource Usage Summary ; +---------------------------------------------+---------------------------------------------+ ; Resource ; Usage ; +---------------------------------------------+---------------------------------------------+ ; Total logic elements ; 398 / 12,060 ( 3 % ) ; ; -- Combinational with no register ; 257 ; ; -- Register only ; 12 ; ; -- Combinational with a register ; 129 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; ; -- 4 input functions ; 105 ; ; -- 3 input functions ; 195 ; ; -- 2 input functions ; 80 ; ; -- 1 input functions ; 4 ; ; -- 0 input functions ; 2 ; ; ; ; ; Logic elements by mode ; ; ; -- normal mode ; 298 ; ; -- arithmetic mode ; 100 ; ; -- qfbk mode ; 35 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 44 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; ; Total registers ; 141 / 12,567 ( 1 % ) ; ; Total LABs ; 48 / 1,206 ( 4 % ) ; ; Logic elements in carry chains ; 104 ; ; User inserted logic elements ; 0 ; ; Virtual pins ; 0 ; ; I/O pins ; 2 / 173 ( 1 % ) ; ; -- Clock pins ; 1 / 2 ( 50 % ) ; ; Global signals ; 1 ; ; M4Ks ; 2 / 52 ( 4 % ) ; ; Total memory bits ; 512 / 239,616 ( < 1 % ) ; ; Total RAM block bits ; 9,216 / 239,616 ( 4 % ) ; ; PLLs ; 0 / 2 ( 0 % ) ; ; Global clocks ; 1 / 8 ( 13 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; ; ASMI Blocks ; 0 / 1 ( 0 % ) ; ; CRC blocks ; 0 / 1 ( 0 % ) ; ; Average interconnect usage (total/H/V) ; 1% / 1% / 1% ; ; Peak interconnect usage (total/H/V) ; 4% / 5% / 4% ; ; Maximum fan-out node ; sys_clk ; ; Maximum fan-out ; 143 ; ; Highest non-global fan-out signal ; decode_stage:decode_st|rtw_rec.immediate[3] ; ; Highest non-global fan-out ; 66 ; ; Total fan-out ; 1487 ; ; Average fan-out ; 3.68 ; +---------------------------------------------+---------------------------------------------+ +---------------------------------------------------------------------------------------------------+ ; Fitter Partition Statistics ; +---------------------------------------------+--------------------+--------------------------------+ ; Statistic ; Top ; hard_block:auto_generated_inst ; +---------------------------------------------+--------------------+--------------------------------+ ; Difficulty Clustering Region ; Low ; Low ; ; ; ; ; ; Total logic elements ; 398 ; 0 ; ; -- Combinational with no register ; 257 ; 0 ; ; -- Register only ; 12 ; 0 ; ; -- Combinational with a register ; 129 ; 0 ; ; ; ; ; ; Logic element usage by number of LUT inputs ; ; ; ; -- 4 input functions ; 0 ; 0 ; ; -- 3 input functions ; 0 ; 0 ; ; -- 2 input functions ; 0 ; 0 ; ; -- 1 input functions ; 0 ; 0 ; ; -- 0 input functions ; 0 ; 0 ; ; ; ; ; ; Logic elements by mode ; ; ; ; -- normal mode ; 0 ; 0 ; ; -- arithmetic mode ; 0 ; 0 ; ; -- qfbk mode ; 0 ; 0 ; ; -- register cascade mode ; 0 ; 0 ; ; -- synchronous clear/load mode ; 0 ; 0 ; ; -- asynchronous clear/load mode ; 0 ; 0 ; ; ; ; ; ; Total registers ; 141 / 6030 ( 2 % ) ; 0 / 6030 ( 0 % ) ; ; Virtual pins ; 0 ; 0 ; ; I/O pins ; 2 ; 0 ; ; DSP block 9-bit elements ; 0 ; 0 ; ; Total memory bits ; 512 ; 0 ; ; Total RAM block bits ; 9216 ; 0 ; ; M4K ; 2 / 52 ( 3 % ) ; 0 / 52 ( 0 % ) ; ; ; ; ; ; Connections ; ; ; ; -- Input Connections ; 0 ; 0 ; ; -- Registered Input Connections ; 0 ; 0 ; ; -- Output Connections ; 0 ; 0 ; ; -- Registered Output Connections ; 0 ; 0 ; ; ; ; ; ; Internal Connections ; ; ; ; -- Total Connections ; 1572 ; 0 ; ; -- Registered Connections ; 590 ; 0 ; ; ; ; ; ; External Connections ; ; ; ; -- Top ; 0 ; 0 ; ; -- hard_block:auto_generated_inst ; 0 ; 0 ; ; ; ; ; ; Partition Interface ; ; ; ; -- Input Ports ; 1 ; 0 ; ; -- Output Ports ; 1 ; 0 ; ; -- Bidir Ports ; 0 ; 0 ; ; ; ; ; ; Registered Ports ; ; ; ; -- Registered Input Ports ; 0 ; 0 ; ; -- Registered Output Ports ; 0 ; 0 ; ; ; ; ; ; Port Connectivity ; ; ; ; -- Input Ports driven by GND ; 0 ; 0 ; ; -- Output Ports driven by GND ; 0 ; 0 ; ; -- Input Ports driven by VCC ; 0 ; 0 ; ; -- Output Ports driven by VCC ; 0 ; 0 ; ; -- Input Ports with no Source ; 0 ; 0 ; ; -- Output Ports with no Source ; 0 ; 0 ; ; -- Input Ports with no Fanout ; 0 ; 0 ; ; -- Output Ports with no Fanout ; 0 ; 0 ; +---------------------------------------------+--------------------+--------------------------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Input Pins ; +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ ; sys_clk ; 152 ; 3 ; 53 ; 15 ; 2 ; 143 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; Off ; User ; +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Output Pins ; +--------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +--------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+----------------------+---------------------+ ; bus_tx ; 166 ; 3 ; 53 ; 22 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 12mA ; Off ; User ; 10 pF ; - ; - ; +--------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+----------------------+---------------------+ +----------------------------------------------------------+ ; I/O Bank Usage ; +----------+----------------+---------------+--------------+ ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+----------------+---------------+--------------+ ; 1 ; 2 / 44 ( 5 % ) ; 3.3V ; -- ; ; 2 ; 0 / 42 ( 0 % ) ; 3.3V ; -- ; ; 3 ; 2 / 45 ( 4 % ) ; 3.3V ; -- ; ; 4 ; 0 / 42 ( 0 % ) ; 3.3V ; -- ; +----------+----------------+---------------+--------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; All Package Pins ; +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ ; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ ; 1 ; 0 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 2 ; 1 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 3 ; 2 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 4 ; 3 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 5 ; 4 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 6 ; 5 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 7 ; 6 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 8 ; 7 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 11 ; 8 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 12 ; 9 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 13 ; 10 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 14 ; 11 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 15 ; 12 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 16 ; 13 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 17 ; 14 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 18 ; 15 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 19 ; 16 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 20 ; 17 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 21 ; 18 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 22 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 23 ; 28 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 24 ; 29 ; 1 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVCMOS ; ; Row I/O ; N ; no ; On ; ; 25 ; 30 ; 1 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; ; 26 ; 31 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; ; 27 ; ; ; VCCA_PLL1 ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 28 ; 32 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 29 ; 33 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 30 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; ; 31 ; ; ; GNDG_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; ; 32 ; 34 ; 1 ; ^nCEO ; ; ; ; -- ; ; -- ; -- ; ; 33 ; 35 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; ; 34 ; 36 ; 1 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; ; 35 ; 37 ; 1 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; ; 36 ; 38 ; 1 ; ^DCLK ; bidir ; ; ; -- ; ; -- ; -- ; ; 37 ; 39 ; 1 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVCMOS ; ; Row I/O ; N ; no ; On ; ; 38 ; 40 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 39 ; 41 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 40 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 41 ; 52 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 42 ; 53 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 43 ; 54 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 44 ; 55 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 45 ; 56 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 46 ; 57 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 47 ; 58 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 48 ; 59 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 49 ; 60 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 50 ; 61 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 51 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 52 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 53 ; 62 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 54 ; 63 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 55 ; 64 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 56 ; 65 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 57 ; 66 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 58 ; 67 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 59 ; 68 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 60 ; 69 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 61 ; 70 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 62 ; 71 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 63 ; 72 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 64 ; 73 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 65 ; 74 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 66 ; 75 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 67 ; 76 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 68 ; 77 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 69 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 70 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 71 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 72 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 73 ; 78 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 74 ; 79 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 75 ; 80 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 76 ; 81 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 77 ; 82 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 78 ; 83 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 79 ; 84 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 80 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 81 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 82 ; 86 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 83 ; 87 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 84 ; 88 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 85 ; 89 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 86 ; 90 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 87 ; 91 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 88 ; 92 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 89 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 90 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 91 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 92 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 93 ; 100 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 94 ; 103 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 95 ; 104 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 96 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 97 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 98 ; 106 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 99 ; 107 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 100 ; 108 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 101 ; 109 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 102 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 103 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 104 ; 118 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 105 ; 119 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 106 ; 120 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 107 ; 121 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 108 ; 122 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 109 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 110 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 111 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 112 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 113 ; 123 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 114 ; 124 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 115 ; 125 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 116 ; 126 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 117 ; 127 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 118 ; 128 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 119 ; 129 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 120 ; 130 ; 4 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 121 ; 131 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 122 ; 132 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 123 ; 133 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 124 ; 134 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 125 ; 135 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 126 ; 136 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 127 ; 137 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 128 ; 138 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 129 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 130 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 131 ; 139 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 132 ; 140 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 133 ; 141 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 134 ; 142 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 135 ; 143 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 136 ; 144 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 137 ; 145 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 138 ; 146 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 139 ; 147 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 140 ; 148 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 141 ; 149 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 142 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 143 ; 160 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 144 ; 161 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 145 ; 162 ; 3 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; ; 146 ; 163 ; 3 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; ; 147 ; 164 ; 3 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; ; 148 ; 165 ; 3 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; ; 149 ; 166 ; 3 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; ; 150 ; ; ; GNDG_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; ; 151 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; ; 152 ; 167 ; 3 ; sys_clk ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; ; 153 ; 168 ; 3 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 154 ; ; ; VCCA_PLL2 ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 155 ; 169 ; 3 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; ; 156 ; 170 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 157 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 158 ; 180 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 159 ; 181 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 160 ; 182 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 161 ; 183 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 162 ; 184 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 163 ; 185 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 164 ; 186 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 165 ; 187 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 166 ; 188 ; 3 ; bus_tx ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; ; 167 ; 189 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 168 ; 190 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 169 ; 191 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 170 ; 192 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 171 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 172 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 173 ; 193 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 174 ; 194 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 175 ; 195 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 176 ; 196 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 177 ; 197 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 178 ; 198 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 179 ; 199 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 180 ; 200 ; 3 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 181 ; 201 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 182 ; 202 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 183 ; 203 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 184 ; 204 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 185 ; 205 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 186 ; 206 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 187 ; 207 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 188 ; 208 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 189 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 190 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 191 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 192 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 193 ; 209 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 194 ; 210 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 195 ; 211 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 196 ; 212 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 197 ; 213 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 198 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 199 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 200 ; 222 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 201 ; 223 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 202 ; 224 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 203 ; 225 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 204 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 205 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 206 ; 227 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 207 ; 228 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 208 ; 231 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 209 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 210 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 211 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 212 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 213 ; 239 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 214 ; 240 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 215 ; 241 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 216 ; 242 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 217 ; 243 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 218 ; 244 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 219 ; 245 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 220 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 221 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 222 ; 247 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 223 ; 248 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 224 ; 249 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 225 ; 250 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 226 ; 251 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 227 ; 252 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 228 ; 253 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 229 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; ; -- ; -- ; ; 230 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 231 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 232 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 233 ; 254 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 234 ; 255 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 235 ; 256 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 236 ; 257 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 237 ; 258 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 238 ; 259 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 239 ; 260 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; ; 240 ; 261 ; 2 ; RESERVED_INPUT ; ; ; ; Column I/O ; ; no ; Off ; +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. +------------------------------------------------------------------+ ; Output Pin Default Load For Reported TCO ; +---------------------+-------+------------------------------------+ ; I/O Standard ; Load ; Termination Resistance ; +---------------------+-------+------------------------------------+ ; 3.3-V LVTTL ; 10 pF ; Not Available ; ; 3.3-V LVCMOS ; 10 pF ; Not Available ; ; 2.5 V ; 10 pF ; Not Available ; ; 1.8 V ; 10 pF ; Not Available ; ; 1.5 V ; 10 pF ; Not Available ; ; SSTL-3 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; ; SSTL-3 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; ; SSTL-2 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; ; SSTL-2 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; ; Differential SSTL-2 ; 10 pF ; (See SSTL-2) ; ; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; ; LVDS ; 4 pF ; 100 Ohm (Differential) ; ; RSDS ; 10 pF ; 100 Ohm (Differential) ; +---------------------+-------+------------------------------------+ Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter Resource Utilization by Entity ; +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; M4Ks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ ; |core_top ; 398 (1) ; 141 ; 512 ; 2 ; 2 ; 0 ; 257 (1) ; 12 (0) ; 129 (0) ; 104 (0) ; 35 (0) ; |core_top ; ; ; |decode_stage:decode_st| ; 43 (42) ; 42 ; 512 ; 2 ; 0 ; 0 ; 1 (0) ; 1 (1) ; 41 (41) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st ; ; ; |decoder:decoder_inst| ; 1 (1) ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|decoder:decoder_inst ; ; ; |r2_w_ram:register_ram| ; 0 (0) ; 0 ; 512 ; 2 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram ; ; ; |altsyncram:ram_rtl_0| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ; ; ; |altsyncram_emk1:auto_generated| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated ; ; ; |altsyncram:ram_rtl_1| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 ; ; ; |altsyncram_emk1:auto_generated| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated ; ; ; |execute_stage:exec_st| ; 191 (129) ; 34 ; 0 ; 0 ; 0 ; 0 ; 157 (95) ; 0 (0) ; 34 (34) ; 61 (0) ; 35 (35) ; |core_top|execute_stage:exec_st ; ; ; |alu:alu_inst| ; 62 (30) ; 0 ; 0 ; 0 ; 0 ; 0 ; 62 (30) ; 0 (0) ; 0 (0) ; 61 (29) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst ; ; ; |exec_op:add_inst| ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (32) ; 0 (0) ; 0 (0) ; 32 (32) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:add_inst ; ; ; |fetch_stage:fetch_st| ; 28 (22) ; 14 ; 0 ; 0 ; 0 ; 0 ; 14 (11) ; 11 (11) ; 3 (0) ; 11 (11) ; 0 (0) ; |core_top|fetch_stage:fetch_st ; ; ; |r_w_ram:instruction_ram| ; 6 (6) ; 3 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 (0) ; |core_top|fetch_stage:fetch_st|r_w_ram:instruction_ram ; ; ; |writeback_stage:writeback_st| ; 135 (28) ; 51 ; 0 ; 0 ; 0 ; 0 ; 84 (26) ; 0 (0) ; 51 (2) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st ; ; ; |extension_uart:uart| ; 107 (13) ; 49 ; 0 ; 0 ; 0 ; 0 ; 58 (3) ; 0 (0) ; 49 (10) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart ; ; ; |rs232_tx:rs232_tx_inst| ; 94 (94) ; 39 ; 0 ; 0 ; 0 ; 0 ; 55 (55) ; 0 (0) ; 39 (39) ; 32 (32) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst ; ; +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. +----------------------------------------------------------------------------------+ ; Delay Chain Summary ; +---------+----------+---------------+---------------+-----------------------+-----+ ; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; +---------+----------+---------------+---------------+-----------------------+-----+ ; bus_tx ; Output ; -- ; -- ; -- ; -- ; ; sys_clk ; Input ; OFF ; OFF ; -- ; -- ; +---------+----------+---------------+---------------+-----------------------+-----+ +---------------------------------------------------+ ; Pad To Core Delay Chain Fanout ; +---------------------+-------------------+---------+ ; Source Pin / Fanout ; Pad To Core Index ; Setting ; +---------------------+-------------------+---------+ ; sys_clk ; ; ; +---------------------+-------------------+---------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Control Signals ; +--------------------------------------------------------------------------------------+---------------+---------+--------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +--------------------------------------------------------------------------------------+---------------+---------+--------------+--------+----------------------+------------------+ ; decode_stage:decode_st|dec_op_inst.op_group.LDST_OP ; LC_X30_Y13_N4 ; 56 ; Sync. load ; no ; -- ; -- ; ; execute_stage:exec_st|reg.wr_en ; LC_X31_Y16_N0 ; 7 ; Write enable ; no ; -- ; -- ; ; sys_clk ; PIN_152 ; 143 ; Clock ; yes ; Global Clock ; GCLK7 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int~0 ; LC_X39_Y14_N4 ; 5 ; Clock enable ; no ; -- ; -- ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|state ; LC_X39_Y14_N3 ; 35 ; Sync. clear ; no ; -- ; -- ; ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~1 ; LC_X28_Y11_N8 ; 8 ; Clock enable ; no ; -- ; -- ; +--------------------------------------------------------------------------------------+---------------+---------+--------------+--------+----------------------+------------------+ +------------------------------------------------------------------------+ ; Global & Other Fast Signals ; +---------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +---------+----------+---------+----------------------+------------------+ ; sys_clk ; PIN_152 ; 143 ; Global Clock ; GCLK7 ; +---------+----------+---------+----------------------+------------------+ +---------------------------------------------------------------------------------------------+ ; Non-Global High Fan-Out Signals ; +-----------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +-----------------------------------------------------------------------------------+---------+ ; decode_stage:decode_st|rtw_rec.immediate[3] ; 66 ; ; decode_stage:decode_st|dec_op_inst.op_group.LDST_OP ; 56 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|state ; 35 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|Equal0~10 ; 34 ; ; execute_stage:exec_st|left_operand[28]~1 ; 32 ; ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; 32 ; ; execute_stage:exec_st|right_operand[6]~1 ; 30 ; ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; 29 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[1] ; 12 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[0] ; 10 ; ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~1 ; 8 ; ; execute_stage:exec_st|reg.res_addr[2] ; 8 ; ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21] ; 7 ; ; execute_stage:exec_st|right_operand[6]~5 ; 7 ; ; execute_stage:exec_st|reg.wr_en ; 7 ; ; writeback_stage:writeback_st|wb_reg.address[0] ; 7 ; ; writeback_stage:writeback_st|wb_reg.address[1] ; 7 ; ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; 6 ; ; execute_stage:exec_st|right_operand[6]~6 ; 6 ; ; execute_stage:exec_st|reg.result[3] ; 6 ; ; execute_stage:exec_st|reg.result[1] ; 6 ; ; writeback_stage:writeback_st|Equal0~24 ; 6 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[2] ; 6 ; ; ~GND ; 5 ; ; execute_stage:exec_st|reg.result[27] ; 5 ; ; execute_stage:exec_st|reg.result[26] ; 5 ; ; execute_stage:exec_st|reg.result[25] ; 5 ; ; execute_stage:exec_st|reg.result[24] ; 5 ; ; execute_stage:exec_st|reg.result[23] ; 5 ; ; execute_stage:exec_st|reg.result[22] ; 5 ; ; execute_stage:exec_st|reg.result[21] ; 5 ; ; execute_stage:exec_st|reg.result[20] ; 5 ; ; execute_stage:exec_st|reg.result[19] ; 5 ; ; execute_stage:exec_st|reg.result[18] ; 5 ; ; execute_stage:exec_st|reg.result[17] ; 5 ; ; execute_stage:exec_st|reg.result[16] ; 5 ; ; execute_stage:exec_st|reg.result[15] ; 5 ; ; execute_stage:exec_st|reg.result[14] ; 5 ; ; execute_stage:exec_st|reg.result[13] ; 5 ; ; execute_stage:exec_st|reg.result[11] ; 5 ; ; execute_stage:exec_st|reg.result[10] ; 5 ; ; execute_stage:exec_st|reg.result[9] ; 5 ; ; execute_stage:exec_st|reg.result[8] ; 5 ; ; execute_stage:exec_st|reg.result[12] ; 5 ; ; execute_stage:exec_st|reg.result[31] ; 5 ; ; execute_stage:exec_st|reg.result[30] ; 5 ; ; execute_stage:exec_st|reg.result[29] ; 5 ; ; execute_stage:exec_st|reg.result[28] ; 5 ; ; execute_stage:exec_st|reg.result[4] ; 5 ; ; execute_stage:exec_st|reg.result[7] ; 5 ; +-----------------------------------------------------------------------------------+---------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter RAM Summary ; +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+-------------+ ; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M4Ks ; MIF ; Location ; +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+-------------+ ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; no ; 512 ; 8 ; 32 ; 8 ; 32 ; 256 ; 1 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y16 ; ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; no ; 512 ; 8 ; 32 ; 8 ; 32 ; 256 ; 1 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y15 ; +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+-------------+ Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. +----------------------------------------------------+ ; Interconnect Usage Summary ; +----------------------------+-----------------------+ ; Interconnect Resource Type ; Usage ; +----------------------------+-----------------------+ ; C4s ; 433 / 30,600 ( 1 % ) ; ; Direct links ; 43 / 43,552 ( < 1 % ) ; ; Global clocks ; 1 / 8 ( 13 % ) ; ; LAB clocks ; 12 / 312 ( 4 % ) ; ; LUT chains ; 46 / 10,854 ( < 1 % ) ; ; Local interconnects ; 653 / 43,552 ( 1 % ) ; ; M4K buffers ; 64 / 1,872 ( 3 % ) ; ; R4s ; 439 / 28,560 ( 2 % ) ; +----------------------------+-----------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ ; Number of Logic Elements (Average = 8.29) ; Number of LABs (Total = 48) ; +--------------------------------------------+------------------------------+ ; 1 ; 7 ; ; 2 ; 0 ; ; 3 ; 1 ; ; 4 ; 1 ; ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 2 ; ; 8 ; 0 ; ; 9 ; 0 ; ; 10 ; 37 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ ; LAB-wide Signals (Average = 0.92) ; Number of LABs (Total = 48) ; +------------------------------------+------------------------------+ ; 1 Clock ; 39 ; ; 1 Clock enable ; 2 ; ; 1 Sync. load ; 2 ; ; 2 Clock enables ; 1 ; +------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ ; Number of Signals Sourced (Average = 9.10) ; Number of LABs (Total = 48) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 7 ; ; 2 ; 0 ; ; 3 ; 1 ; ; 4 ; 1 ; ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 1 ; ; 8 ; 1 ; ; 9 ; 0 ; ; 10 ; 18 ; ; 11 ; 4 ; ; 12 ; 13 ; ; 13 ; 1 ; ; 14 ; 0 ; ; 15 ; 1 ; +---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ ; Number of Signals Sourced Out (Average = 6.02) ; Number of LABs (Total = 48) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 7 ; ; 2 ; 1 ; ; 3 ; 3 ; ; 4 ; 3 ; ; 5 ; 4 ; ; 6 ; 14 ; ; 7 ; 1 ; ; 8 ; 1 ; ; 9 ; 3 ; ; 10 ; 10 ; ; 11 ; 0 ; ; 12 ; 0 ; ; 13 ; 1 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ ; Number of Distinct Inputs (Average = 12.08) ; Number of LABs (Total = 48) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 7 ; ; 3 ; 1 ; ; 4 ; 0 ; ; 5 ; 1 ; ; 6 ; 0 ; ; 7 ; 0 ; ; 8 ; 2 ; ; 9 ; 1 ; ; 10 ; 1 ; ; 11 ; 4 ; ; 12 ; 0 ; ; 13 ; 12 ; ; 14 ; 2 ; ; 15 ; 4 ; ; 16 ; 0 ; ; 17 ; 3 ; ; 18 ; 0 ; ; 19 ; 1 ; ; 20 ; 3 ; ; 21 ; 5 ; +----------------------------------------------+------------------------------+ +--------------------------------------------------------------------+ ; Fitter Device Options ; +----------------------------------------------+---------------------+ ; Option ; Setting ; +----------------------------------------------+---------------------+ ; Enable user-supplied start-up clock (CLKUSR) ; Off ; ; Enable device-wide reset (DEV_CLRn) ; Off ; ; Enable device-wide output enable (DEV_OE) ; Off ; ; Enable INIT_DONE output ; Off ; ; Configuration scheme ; Active Serial ; ; Error detection CRC ; Off ; ; ASDO,nCSO ; As input tri-stated ; ; Reserve all unused pins ; As input tri-stated ; ; Base pin-out file on sameframe device ; Off ; +----------------------------------------------+---------------------+ +------------------------------------------------------------+ ; Estimated Delay Added for Hold Timing Summary ; +-----------------+----------------------+-------------------+ ; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; +-----------------+----------------------+-------------------+ +------------------------------------------------------------+ ; Estimated Delay Added for Hold Timing Details ; +-----------------+----------------------+-------------------+ ; Source Register ; Destination Register ; Delay Added in ns ; +-----------------+----------------------+-------------------+ +-----------------+ ; Fitter Messages ; +-----------------+ Info: ******************************************************************* Info: Running Quartus II Fitter Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition Info: Processing started: Thu Dec 16 16:54:47 2010 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off dt -c dt Info: Selected device EP1C12Q240C8 for design "dt" Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time Warning: Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices Info: Device EP1C6Q240C8 is compatible Info: Fitter converted 2 user pins into dedicated programming pins Info: Pin ~nCSO~ is reserved at location 24 Info: Pin ~ASDO~ is reserved at location 37 Info: Timing-driven compilation is using the Classic Timing Analyzer Warning: Classic Timing Analyzer will not be available in a future release of the Quartus II software. Use the TimeQuest Timing Analyzer to run timing analysis on your design. Convert all the project settings and the timing constraints to TimeQuest Timing Analyzer equivalents. Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. Extra Info: Performing register packing on registers with non-logic cell location assignments Extra Info: Completed register packing on registers with non-logic cell location assignments Info: Completed User Assigned Global Signals Promotion Operation Info: DQS I/O pins require 0 global routing resources Info: Automatically promoted signal "sys_clk" to use Global clock in PIN 152 Info: Completed Auto Global Promotion Operation Info: Starting register packing Extra Info: Started Fast Input/Output/OE register processing Extra Info: Finished Fast Input/Output/OE register processing Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option Extra Info: Moving registers into I/O cells, LUTs, and RAM blocks to improve timing and density Info: Finished moving registers into I/O cells, LUTs, and RAM blocks Info: Finished register packing Info: Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Info: Fitter preparation operations ending: elapsed time is 00:00:01 Info: Fitter placement preparation operations beginning Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 Info: Fitter placement operations beginning Info: Fitter placement was successful Info: Fitter placement operations ending: elapsed time is 00:00:01 Info: Estimated most critical path is memory to register delay of 18.381 ns Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X33_Y16; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a3~portb_address_reg2' Info: 2: + IC(0.000 ns) + CELL(4.317 ns) = 4.317 ns; Loc. = M4K_X33_Y16; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a3' Info: 3: + IC(1.233 ns) + CELL(0.442 ns) = 5.992 ns; Loc. = LAB_X32_Y14; Fanout = 1; COMB Node = 'execute_stage:exec_st|left_operand[3]~61' Info: 4: + IC(0.757 ns) + CELL(0.590 ns) = 7.339 ns; Loc. = LAB_X31_Y15; Fanout = 6; COMB Node = 'execute_stage:exec_st|left_operand[3]~62' Info: 5: + IC(1.395 ns) + CELL(0.575 ns) = 9.309 ns; Loc. = LAB_X28_Y14; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add2~142COUT1_190' Info: 6: + IC(0.000 ns) + CELL(0.080 ns) = 9.389 ns; Loc. = LAB_X28_Y14; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add2~27COUT1_192' Info: 7: + IC(0.000 ns) + CELL(0.608 ns) = 9.997 ns; Loc. = LAB_X28_Y14; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add2~30' Info: 8: + IC(1.387 ns) + CELL(0.292 ns) = 11.676 ns; Loc. = LAB_X30_Y12; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~4' Info: 9: + IC(0.900 ns) + CELL(0.442 ns) = 13.018 ns; Loc. = LAB_X30_Y13; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~7' Info: 10: + IC(0.752 ns) + CELL(0.590 ns) = 14.360 ns; Loc. = LAB_X29_Y12; Fanout = 5; COMB Node = 'writeback_stage:writeback_st|Equal0~23' Info: 11: + IC(0.900 ns) + CELL(0.442 ns) = 15.702 ns; Loc. = LAB_X28_Y11; Fanout = 8; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~1' Info: 12: + IC(1.812 ns) + CELL(0.867 ns) = 18.381 ns; Loc. = LAB_X36_Y14; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]' Info: Total cell delay = 9.245 ns ( 50.30 % ) Info: Total interconnect delay = 9.136 ns ( 49.70 % ) Info: Fitter routing operations beginning Info: Router estimated average interconnect usage is 1% of the available device resources Info: Router estimated peak interconnect usage is 3% of the available device resources in the region that extends from location X21_Y14 to location X31_Y27 Info: Fitter routing operations ending: elapsed time is 00:00:01 Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info: Optimizations that may affect the design's routability were skipped Info: Optimizations that may affect the design's timing were skipped Info: Completed Fixed Delay Chain Operation Info: Started post-fitting delay annotation Info: Delay annotation completed successfully Info: Completed Auto Delay Chain Operation Info: Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Info: Quartus II Fitter was successful. 0 errors, 2 warnings Info: Peak virtual memory: 266 megabytes Info: Processing ended: Thu Dec 16 16:54:58 2010 Info: Elapsed time: 00:00:11 Info: Total CPU time (on all processors): 00:00:11