library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity rom is generic ( ADDR_WIDTH : integer range 1 to integer'high; DATA_WIDTH : integer range 1 to integer'high ); port( --System inputs clk : in std_logic; --Input rd_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0); --Output data_out : out std_logic_vector(DATA_WIDTH-1 downto 0) ); end entity rom;