library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity r_w_ram_be is generic ( ADDR_WIDTH : integer range 1 to integer'high ); port( clk : in std_logic; waddr, raddr : in std_logic_vector(ADDR_WIDTH-1 downto 0); be : in std_logic_vector (3 downto 0); we : in std_logic; wdata : in std_logic_vector(31 downto 0); q : out std_logic_vector(31 downto 0) ); end entity r_w_ram_be;