library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.mem_pkg.all; entity r2_w_ram is generic ( ADDR_WIDTH : integer range 1 to integer'high; DATA_WIDTH : integer range 1 to integer'high ); port( --System inputs clk : in std_logic; --Input wr_addr, rd_addr1, rd_addr2 : in std_logic_vector(ADDR_WIDTH-1 downto 0); wr_en : in std_logic; data_in : in std_logic_vector(DATA_WIDTH-1 downto 0); --Output data_out1, data_out2: out std_logic_vector(DATA_WIDTH-1 downto 0) ); end entity r2_w_ram;