library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.alu_pkg.all; package gpm_pkg is component gpm is generic ( -- active reset value RESET_VALUE : std_logic -- active logic value --LOGIC_ACT : std_logic ); port( --System inputs clk : in std_logic; reset : in std_logic; --exti : in extmod_rec; --alu outpus alu_nxt : in alu_result_rec; --input --output psw : out status_rec --to memcnt --addr : out gp_register_t; --mem_en : out std_logic; --ldst : out std_logic; --, hw,byte: std_logic; --to output bus --exto : out data_ram_word_t ); end component gpm; end package gpm_pkg;