library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.extension_pkg.all; use work.extension_uart_pkg.all; entity extension_uart is generic ( -- active reset value RESET_VALUE : std_logic; CLK_PER_BAUD : integer ); port( --System inputs clk : in std_logic; reset : in std_logic; -- general extension interface ext_reg : in extmod_rec; data_out : out gp_register_t; uart_int : out std_logic; -- Input bus_rx : in std_logic; -- Ouput bus_tx : out std_logic ); end extension_uart;