library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.alu_pkg.all; --use work.gpm_pkg.all; package extension_pkg is constant EXTWORDL : integer := log2c(4); constant BYTEADDR : integer := log2c(4); constant PCOUNT : integer := log2c(4); constant EXTWORDS : integer := EXTWORDL + BYTEADDR; subtype ext_addrid_t is std_logic_vector(gp_register_t'high - EXTWORDS downto 0); subtype ext_addr_t is std_logic_vector((gp_register_t'high-BYTEADDR) downto 0); subtype pointer_count is std_logic_vector(PCOUNT-1 downto 0); type extmod_rec is record sel : std_logic; wr_en : std_logic; byte_en : std_logic_vector(gp_register_t'length/byte_t'length-1 downto 0); data : gp_register_t; addr : ext_addr_t; end record; constant EXT_7SEG_ADDR: ext_addrid_t := x"FFFFFFA"; constant EXT_EXTMEM_ADDR: ext_addrid_t := x"FFFFFFB"; constant EXT_TIMER_ADDR: ext_addrid_t := x"FFFFFFC"; constant EXT_AC97_ADDR: ext_addrid_t := x"FFFFFFD"; constant EXT_UART_ADDR: ext_addrid_t := x"FFFFFFE"; constant EXT_GPMP_ADDR: ext_addrid_t := x"FFFFFFF"; component extension_gpm is --some modules won't need all inputs/outputs generic ( -- active reset value RESET_VALUE : std_logic ); port( --System inputs clk : in std_logic; reset : in std_logic; -- Standartinterface ext_reg : in extmod_rec; data_out : out gp_register_t; -- Input alu_nxt : in alu_result_rec; -- Ouput psw : out status_rec ); end component extension_gpm; end package extension_pkg;