library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.extension_pkg.all; entity extension_interrupt is --some modules won't need all inputs/outputs generic ( -- active reset value RESET_VALUE : std_logic ); port( --System inputs clk : in std_logic; reset : in std_logic; -- general extension interface ext_reg : in extmod_rec; data_out : out gp_register_t; -- Input uart_int : in std_logic; -- Ouput int_req : out interrupt_t ); end extension_interrupt;