library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.extension_pkg.all; package extension_imp_pkg is component extension_imp is --some modules won't need all inputs/outputs generic ( -- active reset value RESET_VALUE : std_logic ); port( --System inputs clk : in std_logic; reset : in std_logic; -- general extension interface ext_reg : in extmod_rec; data_out : out gp_register_t; -- Input -- Ouput im_addr : out gp_register_t; im_data : out gp_register_t; new_im_data_out : out std_logic ); end component extension_imp; end package extension_imp_pkg;