library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.extension_pkg.all; use work.extension_imp_pkg.all; entity extension_imp is generic ( -- active reset value RESET_VALUE : std_logic ); port( --System inputs clk : in std_logic; reset : in std_logic; -- general extension interface ext_reg : in extmod_rec; data_out : out gp_register_t; -- Input -- Ouput im_addr : out gp_register_t; im_data : out gp_register_t; new_im_data_out : out std_logic ); end extension_imp;