library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.core_pkg.all; use work.mem_pkg.all; use work.extension_pkg.all; architecture behav of extension is begin syn: process(clk, reset) begin if (reset = RESET_VALUE) then elsif rising_edge(clk) then end if; end process; end behav;