library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.extension_pkg.all; entity extension is generic ( -- active reset value RESET_VALUE : std_logic; ); port( --System inputs clk : in std_logic; reset : in std_logic; -- Input ext_reg : in extmod_rec; pointer : in pointer_count; dec_inc : in std_logic; -- Ouput data_out : out gp_register_t; pointer_val : out gp_register_t ); end extension;