library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.extension_pkg.all; --use work.alu_pkg.all; --use work.gpm_pkg.all; entity extension_gpm is generic ( -- active reset value RESET_VALUE : std_logic ); port( --System inputs clk : in std_logic; reset : in std_logic; -- general extension interface ext_reg : in extmod_rec; data_out : out gp_register_t; -- Input psw_nxt : in status_rec; paddr : in paddr_t; pinc : in std_logic; pwr_en : in std_logic; -- Ouput psw : out status_rec; pval : out gp_register_t; pval_nxt : out gp_register_t ); end extension_gpm;