library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.extension_pkg.all; use work.alu_pkg.all; use work.gpm_pkg.all; entity extension_gpm is generic ( -- active reset value RESET_VALUE : std_logic ); port( --System inputs clk : in std_logic; reset : in std_logic; -- Standartinterface ext_reg : in extmod_rec; data_out : out gp_register_t; -- Input alu_nxt : in alu_result_rec; -- Ouput psw : out status_rec ); end extension_gpm;