library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity execute_stage is generic ( -- active reset value RESET_VALUE : std_logic; -- active logic value LOGIC_ACT : std_logic; ); port( --System inputs clk : in std_logic; reset : in std_logic; dec_instr : in dec_op ); end execute_stage;