library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.alu_pkg.all; architecture and_op of exec_op is begin calc: process(left_operand, right_operand, alu_state) variable alu_result_v : alu_result_rec; begin alu_result_v := alu_state; alu_result_v.result := left_operand and right_operand; alu_result <= alu_result_v; end process; end architecture and_op;