library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.core_pkg.all; use work.common_pkg.all; entity decoder is port ( instruction : in instruction_word_t; instr_spl : out instruction_rec ); end decoder;