library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; package common_pkg is constant WORD_WIDTH : INTEGER := 32; constant BYTE_WIDTH : INTEGER := 8; constant INSTR_ADDR_WIDTH : INTEGER := 32; constant PHYS_INSTR_ADDR_WIDTH : INTEGER := 11; constant REG_ADDR_WIDTH : INTEGER := 4; constant DATA_ADDR_WIDTH : INTEGER := 32; constant PHYS_DATA_ADDR_WIDTH : INTEGER := 32; subtype instruction_word_t is std_logic_vector(WORD_WIDTH-1 downto 0); subtype instruction_addr_t is std_logic_vector(INSTR_ADDR_WIDTH-1 downto 0); subtype gp_register_t is std_logic_vector(WORD_WIDTH-1 downto 0); subtype data_ram_word_t is std_logic_vector(WORD_WIDTH-1 downto 0); subtype data_ram_addr_t is std_logic_vecotr(DATA_ADDR_WIDTH-1 downto 0); end package common_pkg;