Added arithmetic and logical vhdl functions