X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Ftextmode_vga%2Ffont_rom_beh.vhd;fp=src%2Ftextmode_vga%2Ffont_rom_beh.vhd;h=c01a3c05a4dc169aa996199210dbb1beae49dfbf;hb=c277013ff527af6b7951add2934084bb5e4e3a25;hp=0000000000000000000000000000000000000000;hpb=38ff39be4ca9a16fe08b3652ce616d150b3e139c;p=hwmod.git diff --git a/src/textmode_vga/font_rom_beh.vhd b/src/textmode_vga/font_rom_beh.vhd new file mode 100644 index 0000000..c01a3c0 --- /dev/null +++ b/src/textmode_vga/font_rom_beh.vhd @@ -0,0 +1,27 @@ +------------------------------------------------------------------------- +-- +-- Filename: font_rom_beh.vhd +-- ========= +-- +-- Short Description: +-- ================== +-- Behavioral architecture of the font ROM entity. +-- +------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use work.font_pkg.all; + +architecture beh of font_rom is +begin + process(vga_clk) + variable address : std_logic_vector(log2c(CHAR_COUNT) + log2c(CHAR_HEIGHT) - 1 downto 0); + begin + if rising_edge(vga_clk) then + address := char & char_height_pixel; + decoded_char <= FONT_TABLE(to_integer(unsigned(address))); + end if; + end process; +end architecture beh;