X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Ftextmode_vga%2Ffont_rom.vhd;fp=src%2Ftextmode_vga%2Ffont_rom.vhd;h=ddbfc97b4a9bbc4e587fefed677877486b177082;hb=c277013ff527af6b7951add2934084bb5e4e3a25;hp=0000000000000000000000000000000000000000;hpb=38ff39be4ca9a16fe08b3652ce616d150b3e139c;p=hwmod.git diff --git a/src/textmode_vga/font_rom.vhd b/src/textmode_vga/font_rom.vhd new file mode 100644 index 0000000..ddbfc97 --- /dev/null +++ b/src/textmode_vga/font_rom.vhd @@ -0,0 +1,25 @@ +------------------------------------------------------------------------- +-- +-- Filename: font_rom.vhd +-- ========= +-- +-- Short Description: +-- ================== +-- Font ROM entity declaraton +-- +------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use work.font_pkg.all; +use work.math_pkg.all; + +entity font_rom is + port + ( + vga_clk : in std_logic; + char : in std_logic_vector(log2c(CHAR_COUNT) - 1 downto 0); + char_height_pixel : in std_logic_vector(log2c(CHAR_HEIGHT) - 1 downto 0); + decoded_char : out std_logic_vector(0 to CHAR_WIDTH - 1) + ); +end entity font_rom;