X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Fsp_ram.vhd;fp=src%2Fsp_ram.vhd;h=5c9b66b2e15df2417e8c5e4e5dd1233a024968e8;hb=9f86188ebcedaf3cc7a7106b0a8d6a96493d41bc;hp=4d39020db802fbca901b720e72ba6143a3bb38f2;hpb=afdf1a8534855aeb04ab50cad89440c02e4afb63;p=hwmod.git diff --git a/src/sp_ram.vhd b/src/sp_ram.vhd index 4d39020..5c9b66b 100644 --- a/src/sp_ram.vhd +++ b/src/sp_ram.vhd @@ -20,7 +20,7 @@ end entity sp_ram; architecture beh of sp_ram is subtype RAM_ENTRY_TYPE is hbyte; type RAM_TYPE is array (1 to (2 ** ADDR_WIDTH)) of RAM_ENTRY_TYPE; - signal ram : RAM_TYPE := (others => x"00"); + signal ram : RAM_TYPE := (others => x"41"); begin process(sys_clk) begin