X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Fpc_communication.vhd;h=321d0a36feb4627e4a41f50216909c8a6614341b;hb=6001db94b7d15e097001a3e4e8b4ea1eaee75bad;hp=3490ea14690d6232f932e93c4c3a07142b60a14b;hpb=35c9e56f01e3a9a43ac508b9dd5e69e24cc46ef7;p=hwmod.git diff --git a/src/pc_communication.vhd b/src/pc_communication.vhd index 3490ea1..321d0a3 100644 --- a/src/pc_communication.vhd +++ b/src/pc_communication.vhd @@ -30,19 +30,14 @@ entity pc_communication is end entity pc_communication; architecture beh of pc_communication is - signal push_history, push_history_next : std_logic; - signal spalte, spalte_next : integer range 1 to hspalte_max + 1; signal zeile , zeile_next : integer range 1 to hzeile_max + 1; - signal spalte_up, spalte_up_next : std_logic; signal get, get_next : std_logic; signal new_i, new_i_next : std_logic; signal tx_done_i, tx_done_i_next : std_logic; - signal d_done_i : std_logic; + signal tx_data_i, tx_data_i_next : std_logic_vector (7 downto 0); signal s_done, s_done_next : std_logic; - signal char, char_next : hbyte; - signal char_en : std_logic; type STATE_PC is (IDLE, FETCH, FORWARD, DONE); signal state, state_next : STATE_PC ; @@ -52,64 +47,60 @@ begin d_zeile <= hzeile(std_logic_vector(to_unsigned(zeile,7))); d_spalte <= hspalte(std_logic_vector(to_unsigned(spalte,7))); d_get <= get; - char_next <= d_char; tx_new <= new_i; - d_done_i <= d_done; tx_done_i_next <= tx_done; + tx_data <= tx_data_i; sync: process (sys_clk, sys_res_n) begin if sys_res_n = '0' then state <= IDLE; - push_history <= '0'; spalte <= 1; zeile <= 1; get <= '0'; new_i <= '0'; - tx_data <= "00000000"; - spalte_up <= '0'; + tx_data_i <= "00000000"; tx_done_i <= '0'; s_done <= '0'; elsif rising_edge(sys_clk) then - push_history <= push_history_next; spalte <= spalte_next; zeile <= zeile_next; state <= state_next; get <= get_next; new_i <= new_i_next; tx_done_i <= tx_done_i_next; - spalte_up <= spalte_up_next; + tx_data_i <= tx_data_i_next; s_done <= s_done_next; - if (char_en = '1') then - char <= char_next; - end if; end if; end process sync; - async_push_history : process (rx_new, rx_data, btn_a) - begin - if rx_new = '1' then - if rx_data = X"41" then - push_history_next <= '1'; - else - push_history_next <= '0'; - end if; - elsif btn_a = '1' then - push_history_next <= '1'; - else - push_history_next <= '0'; - end if; - end process async_push_history; - - output_pc : process (state, zeile, spalte, char, tx_done_i, spalte_up) + output_pc : process (state, zeile, spalte, tx_data_i, tx_done_i) + variable spalte_up : std_logic; begin get_next <= '0'; new_i_next <= '0'; - spalte_up_next <= '0'; s_done_next <= '0'; spalte_next <= spalte; zeile_next <= zeile; + tx_data_i_next <= tx_data_i; + spalte_up := '0'; + + case state is + when IDLE => + null; + when FETCH => + get_next <= '1'; + tx_data_i_next <= d_char; + when FORWARD => + new_i_next <= '1'; + if (tx_done_i = '1') then + spalte_up := '1'; + end if; + + when DONE => + null; + end case; if spalte_up = '1' then if spalte = hspalte_max then @@ -127,30 +118,14 @@ begin end if; end if; - case state is - when IDLE => - null; - when FETCH => - get_next <= '1'; - char_en <= '1'; - when FORWARD => - char_en <= '0'; - tx_data <= char; - new_i_next <= '1'; - if (tx_done_i = '1') then - spalte_up_next <= '1'; - end if; - - when DONE => - null; - end case; end process output_pc; - next_state_pc : process (rx_new, btn_a, d_done, tx_done_i, s_done) + next_state_pc : process (state, rx_new, rx_data, btn_a, d_done, tx_done_i, s_done) begin + state_next <= state; case state is when IDLE => - if rx_new = '1' or btn_a = '1' then + if (rx_new = '1' and rx_data = x"0a" ) or btn_a = '1' then state_next <= FETCH; end if; when FETCH =>