X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Fkbd.c;h=1977c5d018c72ff87da3a8e90882090d97a3b356;hb=refs%2Fheads%2Fcoreboot;hp=9c59005661ba70dc8195e7ae0be3eb0f6883ee46;hpb=92f95b0fecca029a0c4dd81203e6b42f60c4a382;p=seabios.git diff --git a/src/kbd.c b/src/kbd.c index 9c59005..1977c5d 100644 --- a/src/kbd.c +++ b/src/kbd.c @@ -3,101 +3,49 @@ // Copyright (C) 2008 Kevin O'Connor // Copyright (C) 2002 MandrakeSoft S.A. // -// This file may be distributed under the terms of the GNU GPLv3 license. +// This file may be distributed under the terms of the GNU LGPLv3 license. #include "biosvar.h" // GET_BDA #include "util.h" // debug_enter #include "config.h" // CONFIG_* -#include "pic.h" // eoi_pic1 #include "bregs.h" // struct bregs -#include "ps2port.h" // i8042_flush - -static void -keyboard_init() -{ - if (CONFIG_COREBOOT) - // Coreboot already does low-level keyboard init. - goto end; - - /* flush incoming keys */ - int ret = i8042_flush(); - if (ret) - return; - - // Controller self-test. - u8 param[2]; - ret = i8042_command(I8042_CMD_CTL_TEST, param); - if (ret) - return; - if (param[0] != 0x55) { - dprintf(1, "i8042 self test failed (got %x not 0x55)\n", param[0]); - return; - } - - // Controller keyboard test. - ret = i8042_command(I8042_CMD_KBD_TEST, param); - if (ret) - return; - if (param[0] != 0x00) { - dprintf(1, "i8042 keyboard test failed (got %x not 0x00)\n", param[0]); - return; - } - - // Enable keyboard and mouse ports. - ret = i8042_command(I8042_CMD_KBD_ENABLE, NULL); - if (ret) - return; - ret = i8042_command(I8042_CMD_AUX_ENABLE, NULL); - if (ret) - return; - - - /* ------------------- keyboard side ------------------------*/ - /* reset keyboard and self test (keyboard side) */ - ret = kbd_command(ATKBD_CMD_RESET_BAT, param); - if (ret != 0 && ret != 2) - return; - if (param[0] != 0xaa) { - dprintf(1, "keyboard self test failed (got %x not 0xaa)\n", param[0]); - return; - } - - /* Disable keyboard */ - ret = kbd_command(ATKBD_CMD_RESET_DIS, NULL); - if (ret) - return; - -end: - // Keyboard Mode: scan code convert, disable mouse, enable IRQ 1 - SET_EBDA(ps2ctr, I8042_CTR_AUXDIS | I8042_CTR_XLATE | I8042_CTR_KBDINT); - - /* Enable keyboard */ - ret = kbd_command(ATKBD_CMD_ENABLE, NULL); - if (ret) - return; - - dprintf(1, "keyboard initialized\n"); -} +#include "ps2port.h" // ps2_kbd_command +#include "usb-hid.h" // usb_kbd_command + +// Bit definitions for BDA kbd_flag[012] +#define KF0_RSHIFT (1<<0) +#define KF0_LSHIFT (1<<1) +#define KF0_CTRLACTIVE (1<<2) +#define KF0_ALTACTIVE (1<<3) +#define KF0_SCROLLACTIVE (1<<4) +#define KF0_NUMACTIVE (1<<5) +#define KF0_CAPSACTIVE (1<<6) + +#define KF1_LCTRL (1<<0) +#define KF1_LALT (1<<1) +#define KF1_PAUSEACTIVE (1<<3) +#define KF1_SCROLL (1<<4) +#define KF1_NUM (1<<5) +#define KF1_CAPS (1<<6) + +#define KF2_LAST_E1 (1<<0) +#define KF2_LAST_E0 (1<<1) +#define KF2_RCTRL (1<<2) +#define KF2_RALT (1<<3) +#define KF2_101KBD (1<<4) void -kbd_setup() +kbd_setup(void) { dprintf(3, "init keyboard\n"); - u16 x = offsetof(struct bios_data_area_s, kbd_buf) - 0x400; - SET_BDA(kbd_mode, 0x10); + u16 x = offsetof(struct bios_data_area_s, kbd_buf); + SET_BDA(kbd_flag2, KF2_101KBD); SET_BDA(kbd_buf_head, x); SET_BDA(kbd_buf_tail, x); SET_BDA(kbd_buf_start_offset, x); SET_BDA(kbd_buf_end_offset , x + FIELD_SIZEOF(struct bios_data_area_s, kbd_buf)); - - if (! CONFIG_KEYBOARD) - return; - - keyboard_init(); - - enable_hwirq(1, entry_09); } static u8 @@ -117,8 +65,8 @@ enqueue_key(u8 scan_code, u8 ascii_code) if (buffer_tail == buffer_head) return 0; - SET_FARVAR(SEG_BDA, *(u8*)(temp_tail+0x400+0), ascii_code); - SET_FARVAR(SEG_BDA, *(u8*)(temp_tail+0x400+1), scan_code); + SET_FARVAR(SEG_BDA, *(u8*)(temp_tail+0), ascii_code); + SET_FARVAR(SEG_BDA, *(u8*)(temp_tail+1), scan_code); SET_BDA(kbd_buf_tail, buffer_tail); return 1; } @@ -126,6 +74,7 @@ enqueue_key(u8 scan_code, u8 ascii_code) static void dequeue_key(struct bregs *regs, int incr, int extended) { + yield(); u16 buffer_head; u16 buffer_tail; for (;;) { @@ -138,11 +87,11 @@ dequeue_key(struct bregs *regs, int incr, int extended) regs->flags |= F_ZF; return; } - cpu_relax(); + wait_irq(); } - u8 ascii_code = GET_FARVAR(SEG_BDA, *(u8*)(buffer_head+0x400+0)); - u8 scan_code = GET_FARVAR(SEG_BDA, *(u8*)(buffer_head+0x400+1)); + u8 ascii_code = GET_FARVAR(SEG_BDA, *(u8*)(buffer_head+0)); + u8 scan_code = GET_FARVAR(SEG_BDA, *(u8*)(buffer_head+1)); if ((ascii_code == 0xF0 && scan_code != 0) || (ascii_code == 0xE0 && !extended)) ascii_code = 0; @@ -161,6 +110,14 @@ dequeue_key(struct bregs *regs, int incr, int extended) SET_BDA(kbd_buf_head, buffer_head); } +static inline int +kbd_command(int command, u8 *param) +{ + if (usb_kbd_active()) + return usb_kbd_command(command, param); + return ps2_kbd_command(command, param); +} + // read keyboard input static void handle_1600(struct bregs *regs) @@ -179,6 +136,7 @@ handle_1601(struct bregs *regs) static void handle_1602(struct bregs *regs) { + yield(); regs->al = GET_BDA(kbd_flag0); } @@ -237,8 +195,10 @@ handle_1611(struct bregs *regs) static void handle_1612(struct bregs *regs) { + yield(); regs->al = GET_BDA(kbd_flag0); - regs->ah = (GET_BDA(kbd_flag1) & 0x73) | (GET_BDA(kbd_mode) & 0x0c); + regs->ah = ((GET_BDA(kbd_flag1) & ~(KF2_RCTRL|KF2_RALT)) + | (GET_BDA(kbd_flag2) & (KF2_RCTRL|KF2_RALT))); //BX_DEBUG_INT16("int16: func 12 sending %04x\n",AX); } @@ -268,11 +228,11 @@ handle_16a2(struct bregs *regs) static void handle_16XX(struct bregs *regs) { - debug_stub(regs); + warn_unimplemented(regs); } static void -set_leds() +set_leds(void) { u8 shift_flags = (GET_BDA(kbd_flag0) >> 4) & 0x07; u8 kbd_led = GET_BDA(kbd_led); @@ -296,8 +256,7 @@ handle_16(struct bregs *regs) if (! CONFIG_KEYBOARD) return; - irq_enable(); - + // XXX - set_leds should be called from irq handler set_leds(); switch (regs->ah) { @@ -318,7 +277,8 @@ handle_16(struct bregs *regs) } #define none 0 -#define MAX_SCAN_CODE 0x58 +#define MNUM KF0_NUMACTIVE +#define MCAP KF0_CAPSACTIVE static struct scaninfo { u16 normal; @@ -326,7 +286,7 @@ static struct scaninfo { u16 control; u16 alt; u8 lock_flags; -} scan_to_scanascii[MAX_SCAN_CODE + 1] VAR16 = { +} scan_to_scanascii[] VAR16 = { { none, none, none, none, none }, { 0x011b, 0x011b, 0x011b, 0x0100, none }, /* escape */ { 0x0231, 0x0221, none, 0x7800, none }, /* 1! */ @@ -343,41 +303,41 @@ static struct scaninfo { { 0x0d3d, 0x0d2b, none, 0x8300, none }, /* =+ */ { 0x0e08, 0x0e08, 0x0e7f, none, none }, /* backspace */ { 0x0f09, 0x0f00, none, none, none }, /* tab */ - { 0x1071, 0x1051, 0x1011, 0x1000, 0x40 }, /* Q */ - { 0x1177, 0x1157, 0x1117, 0x1100, 0x40 }, /* W */ - { 0x1265, 0x1245, 0x1205, 0x1200, 0x40 }, /* E */ - { 0x1372, 0x1352, 0x1312, 0x1300, 0x40 }, /* R */ - { 0x1474, 0x1454, 0x1414, 0x1400, 0x40 }, /* T */ - { 0x1579, 0x1559, 0x1519, 0x1500, 0x40 }, /* Y */ - { 0x1675, 0x1655, 0x1615, 0x1600, 0x40 }, /* U */ - { 0x1769, 0x1749, 0x1709, 0x1700, 0x40 }, /* I */ - { 0x186f, 0x184f, 0x180f, 0x1800, 0x40 }, /* O */ - { 0x1970, 0x1950, 0x1910, 0x1900, 0x40 }, /* P */ + { 0x1071, 0x1051, 0x1011, 0x1000, MCAP }, /* Q */ + { 0x1177, 0x1157, 0x1117, 0x1100, MCAP }, /* W */ + { 0x1265, 0x1245, 0x1205, 0x1200, MCAP }, /* E */ + { 0x1372, 0x1352, 0x1312, 0x1300, MCAP }, /* R */ + { 0x1474, 0x1454, 0x1414, 0x1400, MCAP }, /* T */ + { 0x1579, 0x1559, 0x1519, 0x1500, MCAP }, /* Y */ + { 0x1675, 0x1655, 0x1615, 0x1600, MCAP }, /* U */ + { 0x1769, 0x1749, 0x1709, 0x1700, MCAP }, /* I */ + { 0x186f, 0x184f, 0x180f, 0x1800, MCAP }, /* O */ + { 0x1970, 0x1950, 0x1910, 0x1900, MCAP }, /* P */ { 0x1a5b, 0x1a7b, 0x1a1b, none, none }, /* [{ */ { 0x1b5d, 0x1b7d, 0x1b1d, none, none }, /* ]} */ { 0x1c0d, 0x1c0d, 0x1c0a, none, none }, /* Enter */ { none, none, none, none, none }, /* L Ctrl */ - { 0x1e61, 0x1e41, 0x1e01, 0x1e00, 0x40 }, /* A */ - { 0x1f73, 0x1f53, 0x1f13, 0x1f00, 0x40 }, /* S */ - { 0x2064, 0x2044, 0x2004, 0x2000, 0x40 }, /* D */ - { 0x2166, 0x2146, 0x2106, 0x2100, 0x40 }, /* F */ - { 0x2267, 0x2247, 0x2207, 0x2200, 0x40 }, /* G */ - { 0x2368, 0x2348, 0x2308, 0x2300, 0x40 }, /* H */ - { 0x246a, 0x244a, 0x240a, 0x2400, 0x40 }, /* J */ - { 0x256b, 0x254b, 0x250b, 0x2500, 0x40 }, /* K */ - { 0x266c, 0x264c, 0x260c, 0x2600, 0x40 }, /* L */ + { 0x1e61, 0x1e41, 0x1e01, 0x1e00, MCAP }, /* A */ + { 0x1f73, 0x1f53, 0x1f13, 0x1f00, MCAP }, /* S */ + { 0x2064, 0x2044, 0x2004, 0x2000, MCAP }, /* D */ + { 0x2166, 0x2146, 0x2106, 0x2100, MCAP }, /* F */ + { 0x2267, 0x2247, 0x2207, 0x2200, MCAP }, /* G */ + { 0x2368, 0x2348, 0x2308, 0x2300, MCAP }, /* H */ + { 0x246a, 0x244a, 0x240a, 0x2400, MCAP }, /* J */ + { 0x256b, 0x254b, 0x250b, 0x2500, MCAP }, /* K */ + { 0x266c, 0x264c, 0x260c, 0x2600, MCAP }, /* L */ { 0x273b, 0x273a, none, none, none }, /* ;: */ { 0x2827, 0x2822, none, none, none }, /* '" */ { 0x2960, 0x297e, none, none, none }, /* `~ */ { none, none, none, none, none }, /* L shift */ { 0x2b5c, 0x2b7c, 0x2b1c, none, none }, /* |\ */ - { 0x2c7a, 0x2c5a, 0x2c1a, 0x2c00, 0x40 }, /* Z */ - { 0x2d78, 0x2d58, 0x2d18, 0x2d00, 0x40 }, /* X */ - { 0x2e63, 0x2e43, 0x2e03, 0x2e00, 0x40 }, /* C */ - { 0x2f76, 0x2f56, 0x2f16, 0x2f00, 0x40 }, /* V */ - { 0x3062, 0x3042, 0x3002, 0x3000, 0x40 }, /* B */ - { 0x316e, 0x314e, 0x310e, 0x3100, 0x40 }, /* N */ - { 0x326d, 0x324d, 0x320d, 0x3200, 0x40 }, /* M */ + { 0x2c7a, 0x2c5a, 0x2c1a, 0x2c00, MCAP }, /* Z */ + { 0x2d78, 0x2d58, 0x2d18, 0x2d00, MCAP }, /* X */ + { 0x2e63, 0x2e43, 0x2e03, 0x2e00, MCAP }, /* C */ + { 0x2f76, 0x2f56, 0x2f16, 0x2f00, MCAP }, /* V */ + { 0x3062, 0x3042, 0x3002, 0x3000, MCAP }, /* B */ + { 0x316e, 0x314e, 0x310e, 0x3100, MCAP }, /* N */ + { 0x326d, 0x324d, 0x320d, 0x3200, MCAP }, /* M */ { 0x332c, 0x333c, none, none, none }, /* ,< */ { 0x342e, 0x343e, none, none, none }, /* .> */ { 0x352f, 0x353f, none, none, none }, /* /? */ @@ -398,19 +358,19 @@ static struct scaninfo { { 0x4400, 0x5d00, 0x6700, 0x7100, none }, /* F10 */ { none, none, none, none, none }, /* Num Lock */ { none, none, none, none, none }, /* Scroll Lock */ - { 0x4700, 0x4737, 0x7700, none, 0x20 }, /* 7 Home */ - { 0x4800, 0x4838, none, none, 0x20 }, /* 8 UP */ - { 0x4900, 0x4939, 0x8400, none, 0x20 }, /* 9 PgUp */ + { 0x4700, 0x4737, 0x7700, none, MNUM }, /* 7 Home */ + { 0x4800, 0x4838, none, none, MNUM }, /* 8 UP */ + { 0x4900, 0x4939, 0x8400, none, MNUM }, /* 9 PgUp */ { 0x4a2d, 0x4a2d, none, none, none }, /* - */ - { 0x4b00, 0x4b34, 0x7300, none, 0x20 }, /* 4 Left */ - { 0x4c00, 0x4c35, none, none, 0x20 }, /* 5 */ - { 0x4d00, 0x4d36, 0x7400, none, 0x20 }, /* 6 Right */ + { 0x4b00, 0x4b34, 0x7300, none, MNUM }, /* 4 Left */ + { 0x4c00, 0x4c35, none, none, MNUM }, /* 5 */ + { 0x4d00, 0x4d36, 0x7400, none, MNUM }, /* 6 Right */ { 0x4e2b, 0x4e2b, none, none, none }, /* + */ - { 0x4f00, 0x4f31, 0x7500, none, 0x20 }, /* 1 End */ - { 0x5000, 0x5032, none, none, 0x20 }, /* 2 Down */ - { 0x5100, 0x5133, 0x7600, none, 0x20 }, /* 3 PgDn */ - { 0x5200, 0x5230, none, none, 0x20 }, /* 0 Ins */ - { 0x5300, 0x532e, none, none, 0x20 }, /* Del */ + { 0x4f00, 0x4f31, 0x7500, none, MNUM }, /* 1 End */ + { 0x5000, 0x5032, none, none, MNUM }, /* 2 Down */ + { 0x5100, 0x5133, 0x7600, none, MNUM }, /* 3 PgDn */ + { 0x5200, 0x5230, none, none, MNUM }, /* 0 Ins */ + { 0x5300, 0x532e, none, none, MNUM }, /* Del */ { none, none, none, none, none }, { none, none, none, none, none }, { 0x565c, 0x567c, none, none, none }, /* \| */ @@ -418,12 +378,36 @@ static struct scaninfo { { 0x8600, 0x8800, 0x8a00, 0x8c00, none }, /* F12 */ }; -static void -process_key(u8 scancode) +// Handle a scancode read from the ps2 port. Note that "noinline" is +// used to make sure the call to call16_simpint in process_key doesn't +// have the overhead of this function's stack. +static void noinline +__process_key(u8 scancode) { - u8 shift_flags = GET_BDA(kbd_flag0); - u8 mf2_flags = GET_BDA(kbd_flag1); - u8 mf2_state = GET_BDA(kbd_mode); + u8 flags0 = GET_BDA(kbd_flag0); + u8 flags1 = GET_BDA(kbd_flag1); + u8 flags2 = GET_BDA(kbd_flag2); + + if (flags2 & KF2_LAST_E1) { + // Part of "pause" key (sequence is e1 1d 45 e1 9d c5) + if ((scancode & ~0x80) == 0x1d) + // Second key of sequence + return; + // Third key of sequence - clear flag. + flags2 &= ~KF2_LAST_E1; + SET_BDA(kbd_flag2, flags2); + + if (scancode == 0xc5) { + // Final key in sequence. + + // XXX - do actual pause. + } + return; + } + + // XXX - PrtScr should cause int 0x05 + // XXX - Ctrl+Break should cause int 0x1B + // XXX - SysReq should cause int 0x15/0x85 switch (scancode) { case 0x00: @@ -431,149 +415,117 @@ process_key(u8 scancode) return; case 0x3a: /* Caps Lock press */ - shift_flags ^= 0x40; - SET_BDA(kbd_flag0, shift_flags); - mf2_flags |= 0x40; - SET_BDA(kbd_flag1, mf2_flags); + flags0 ^= KF0_CAPSACTIVE; + flags1 |= KF1_CAPS; break; case 0xba: /* Caps Lock release */ - mf2_flags &= ~0x40; - SET_BDA(kbd_flag1, mf2_flags); + flags1 &= ~KF1_CAPS; break; case 0x2a: /* L Shift press */ - shift_flags |= 0x02; - SET_BDA(kbd_flag0, shift_flags); + flags0 |= KF0_LSHIFT; break; case 0xaa: /* L Shift release */ - shift_flags &= ~0x02; - SET_BDA(kbd_flag0, shift_flags); + flags0 &= ~KF0_LSHIFT; break; case 0x36: /* R Shift press */ - shift_flags |= 0x01; - SET_BDA(kbd_flag0, shift_flags); + flags0 |= KF0_RSHIFT; break; case 0xb6: /* R Shift release */ - shift_flags &= ~0x01; - SET_BDA(kbd_flag0, shift_flags); + flags0 &= ~KF0_RSHIFT; break; case 0x1d: /* Ctrl press */ - if ((mf2_state & 0x01) == 0) { - shift_flags |= 0x04; - SET_BDA(kbd_flag0, shift_flags); - if (mf2_state & 0x02) { - mf2_state |= 0x04; - SET_BDA(kbd_mode, mf2_state); - } else { - mf2_flags |= 0x01; - SET_BDA(kbd_flag1, mf2_flags); - } - } + flags0 |= KF0_CTRLACTIVE; + if (flags2 & KF2_LAST_E0) + flags2 |= KF2_RCTRL; + else + flags1 |= KF1_LCTRL; break; case 0x9d: /* Ctrl release */ - if ((mf2_state & 0x01) == 0) { - shift_flags &= ~0x04; - SET_BDA(kbd_flag0, shift_flags); - if (mf2_state & 0x02) { - mf2_state &= ~0x04; - SET_BDA(kbd_mode, mf2_state); - } else { - mf2_flags &= ~0x01; - SET_BDA(kbd_flag1, mf2_flags); - } - } + flags0 &= ~KF0_CTRLACTIVE; + if (flags2 & KF2_LAST_E0) + flags2 &= ~KF2_RCTRL; + else + flags1 &= ~KF1_LCTRL; break; case 0x38: /* Alt press */ - shift_flags |= 0x08; - SET_BDA(kbd_flag0, shift_flags); - if (mf2_state & 0x02) { - mf2_state |= 0x08; - SET_BDA(kbd_mode, mf2_state); - } else { - mf2_flags |= 0x02; - SET_BDA(kbd_flag1, mf2_flags); - } + flags0 |= KF0_ALTACTIVE; + if (flags2 & KF2_LAST_E0) + flags2 |= KF2_RALT; + else + flags1 |= KF1_LALT; break; case 0xb8: /* Alt release */ - shift_flags &= ~0x08; - SET_BDA(kbd_flag0, shift_flags); - if (mf2_state & 0x02) { - mf2_state &= ~0x08; - SET_BDA(kbd_mode, mf2_state); - } else { - mf2_flags &= ~0x02; - SET_BDA(kbd_flag1, mf2_flags); - } + flags0 &= ~KF0_ALTACTIVE; + if (flags2 & KF2_LAST_E0) + flags2 &= ~KF2_RALT; + else + flags1 &= ~KF1_LALT; break; case 0x45: /* Num Lock press */ - if ((mf2_state & 0x03) == 0) { - mf2_flags |= 0x20; - SET_BDA(kbd_flag1, mf2_flags); - shift_flags ^= 0x20; - SET_BDA(kbd_flag0, shift_flags); - } + flags1 |= KF1_NUM; + flags0 ^= KF0_NUMACTIVE; break; case 0xc5: /* Num Lock release */ - if ((mf2_state & 0x03) == 0) { - mf2_flags &= ~0x20; - SET_BDA(kbd_flag1, mf2_flags); - } + flags1 &= ~KF1_NUM; break; case 0x46: /* Scroll Lock press */ - mf2_flags |= 0x10; - SET_BDA(kbd_flag1, mf2_flags); - shift_flags ^= 0x10; - SET_BDA(kbd_flag0, shift_flags); + flags1 |= KF1_SCROLL; + flags0 ^= KF0_SCROLLACTIVE; break; case 0xc6: /* Scroll Lock release */ - mf2_flags &= ~0x10; - SET_BDA(kbd_flag1, mf2_flags); + flags1 &= ~KF1_SCROLL; break; case 0xe0: // Extended key - SETBITS_BDA(kbd_mode, 0x02); + flags2 |= KF2_LAST_E0; + SET_BDA(kbd_flag2, flags2); return; case 0xe1: - // Pause key - SETBITS_BDA(kbd_mode, 0x01); - return; + // Start of pause key sequence + flags2 |= KF2_LAST_E1; + break; default: if (scancode & 0x80) // toss key releases break; - if (scancode == 0x53 && (shift_flags & 0x0c) == 0x0c) + if (scancode == 0x53 + && ((flags0 & (KF0_CTRLACTIVE|KF0_ALTACTIVE)) + == (KF0_CTRLACTIVE|KF0_ALTACTIVE))) { // Ctrl+alt+del - reset machine. + SET_BDA(soft_reset_flag, 0x1234); reset_vector(); - if (scancode > MAX_SCAN_CODE) { + } + if (scancode >= ARRAY_SIZE(scan_to_scanascii)) { dprintf(1, "KBD: int09h_handler(): unknown scancode read: 0x%02x!\n" , scancode); return; } u8 asciicode; struct scaninfo *info = &scan_to_scanascii[scancode]; - if (shift_flags & 0x08) { /* ALT */ + if (flags0 & KF0_ALTACTIVE) { asciicode = GET_GLOBAL(info->alt); scancode = GET_GLOBAL(info->alt) >> 8; - } else if (shift_flags & 0x04) { /* CONTROL */ + } else if (flags0 & KF0_CTRLACTIVE) { asciicode = GET_GLOBAL(info->control); scancode = GET_GLOBAL(info->control) >> 8; - } else if ((mf2_state & 0x02) > 0 + } else if (flags2 & KF2_LAST_E0 && scancode >= 0x47 && scancode <= 0x53) { /* extended keys handling */ asciicode = 0xe0; scancode = GET_GLOBAL(info->normal) >> 8; - } else if (shift_flags & 0x03) { /* LSHIFT + RSHIFT */ - /* check if lock state should be ignored - * because a SHIFT key are pressed */ + } else if (flags0 & (KF0_RSHIFT|KF0_LSHIFT)) { + /* check if lock state should be ignored because a SHIFT + * key is pressed */ - if (shift_flags & GET_GLOBAL(info->lock_flags)) { + if (flags0 & GET_GLOBAL(info->lock_flags)) { asciicode = GET_GLOBAL(info->normal); scancode = GET_GLOBAL(info->normal) >> 8; } else { @@ -582,7 +534,7 @@ process_key(u8 scancode) } } else { /* check if lock is on */ - if (shift_flags & GET_GLOBAL(info->lock_flags)) { + if (flags0 & GET_GLOBAL(info->lock_flags)) { asciicode = GET_GLOBAL(info->shift); scancode = GET_GLOBAL(info->shift) >> 8; } else { @@ -590,53 +542,32 @@ process_key(u8 scancode) scancode = GET_GLOBAL(info->normal) >> 8; } } - if (scancode==0 && asciicode==0) { - dprintf(1, "KBD: int09h_handler():" - " scancode & asciicode are zero?\n"); - } + if (scancode==0 && asciicode==0) + dprintf(1, "KBD: scancode & asciicode are zero?\n"); enqueue_key(scancode, asciicode); break; } - if ((scancode & 0x7f) != 0x1d) { - mf2_state &= ~0x01; - } - mf2_state &= ~0x02; - SET_BDA(kbd_mode, mf2_state); + flags2 &= ~KF2_LAST_E0; + + SET_BDA(kbd_flag0, flags0); + SET_BDA(kbd_flag1, flags1); + SET_BDA(kbd_flag2, flags2); } -// INT09h : Keyboard Hardware Service Entry Point -void VISIBLE16 -handle_09() +void +process_key(u8 key) { - debug_isr(DEBUG_ISR_09); - if (! CONFIG_KEYBOARD) - goto done; - - // read key from keyboard controller - u8 v = inb(PORT_PS2_STATUS); - if ((v & 0x21) != 0x01) { - dprintf(1, "int09 but no keyboard data.\n"); - goto done; - } - u8 key = inb(PORT_PS2_DATA); + if (!CONFIG_KEYBOARD) + return; - irq_enable(); if (CONFIG_KBD_CALL_INT15_4F) { // allow for keyboard intercept - struct bregs tr; - memset(&tr, 0, sizeof(tr)); - tr.al = key; - tr.ah = 0x4f; - tr.flags = F_CF; - call16_int(0x15, &tr); - if (!(tr.flags & F_CF)) - goto done; - key = tr.al; + u32 eax = (0x4f << 8) | key; + u32 flags; + call16_simpint(0x15, &eax, &flags); + if (!(flags & F_CF)) + return; + key = eax; } - process_key(key); - - irq_disable(); - -done: - eoi_pic1(); + __process_key(key); }