X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Fhistory.vhd;h=dd2ce8bd7fae662811dccb4ab6d7e8adbcded876;hb=4daed56adb94596e6e991086a40f52e4a0b34efe;hp=1cac445f7fccfe7054ac2de54c4b37654b64fcdc;hpb=a1ca37661fbf0dcea7a7a017e87e5281bcd8c2e7;p=hwmod.git diff --git a/src/history.vhd b/src/history.vhd index 1cac445..dd2ce8b 100644 --- a/src/history.vhd +++ b/src/history.vhd @@ -13,6 +13,7 @@ entity history is pc_zeile : in hzeile; pc_char : out hbyte; pc_done : out std_logic; + pc_busy : out std_logic; -- Scanner s_char : in hbyte; s_take : in std_logic; @@ -59,6 +60,7 @@ architecture beh of history is signal p_sp_write_int, p_sp_write_next : hspalte; signal pc_char_next ,pc_char_int : hbyte; signal pc_done_next, pc_done_int : std_logic; + signal pc_busy_next, pc_busy_int : std_logic; -- ram signal address_next, address_int : std_logic_vector(H_RAM_WIDTH - 1 downto 0); @@ -74,6 +76,9 @@ begin p_rdone <= p_rdone_int; p_wdone <= p_wdone_int; p_read <= p_read_int; + pc_done <= pc_done_int; + pc_busy <= pc_busy_int; + pc_char <= pc_char_int; process(sys_clk, sys_res_n) begin @@ -220,7 +225,7 @@ begin process(state_int, s_cnt_int, d_spalte, d_zeile, data_out, s_char, address_int, data_in_int, d_new_result_int, d_new_eingabe_int, d_new_bs_int, was_bs_int, s_take, pos_int, p_rdone_int, p_wdone_int, p_read_int, - p_write, p_sp_read_int, p_sp_write_int) + p_write, p_sp_read_int, p_sp_write_int, pc_char_int, pc_zeile, pc_spalte) variable addr_tmp : std_logic_vector(H_RAM_WIDTH - 1 downto 0); variable spalte_tmp : hspalte; variable mul_tmp : std_logic_vector((H_RAM_WIDTH*2) -1 downto 0); @@ -237,8 +242,8 @@ begin wr_next <= '0'; address_next <= address_int; data_in_next <= data_in_int; - pc_done <= '0'; - pc_char <= (others => '0'); + pc_done_next <= '0'; + pc_char_next <= pc_char_int; --(others => '0'); p_rdone_next <= p_rdone_int; p_wdone_next <= p_wdone_int; p_read_next <= p_read_int;