X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Fhistory.vhd;h=cf130ec91104379a5f7f1643763add906b14a7fc;hb=38a03425c6cbd469804cd79983e2d35d8ecca453;hp=3031c22ed899154be4109ff36bd58b8dc99764b8;hpb=4d6cc6ba70abf036904d501afb7fea6d059c5297;p=hwmod.git diff --git a/src/history.vhd b/src/history.vhd index 3031c22..cf130ec 100644 --- a/src/history.vhd +++ b/src/history.vhd @@ -21,9 +21,13 @@ entity history is d_spalte : in hspalte; d_get : in std_logic; d_done : out std_logic; - d_char : out hbyte + d_char : out hbyte; -- Parser -- TODO: pins + + -- TODO: tmp only! + do_it : in std_logic; + finished : out std_logic ); end entity history; @@ -35,6 +39,8 @@ architecture beh of history is signal d_new_result_int, d_new_result_next : std_logic; signal d_done_int, d_done_next : std_logic; signal d_char_int, d_char_next : hbyte; + + signal finished_int, finished_next : std_logic; begin s_done <= s_done_int; d_new_eingabe <= d_new_eingabe_int; @@ -42,6 +48,8 @@ begin d_done <= d_done_int; d_char <= d_char_int; + finished <= finished_int; + process(sys_clk, sys_res_n) begin if sys_res_n = '0' then @@ -53,6 +61,8 @@ begin d_new_eingabe_int <= '0'; d_done_int <= '0'; d_char_int <= (others => '0'); + + finished_int <= '0'; elsif rising_edge(sys_clk) then -- internal state_int <= state_next; @@ -62,6 +72,8 @@ begin d_new_eingabe_int <= d_new_eingabe_next; d_done_int <= d_done_next; d_char_int <= d_char_next; + + finished_int <= finished_next; end if; end process;