X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Fhistory.vhd;h=cd6dbcda6e6f2fc7e3a7a79b26256c56ed999e2f;hb=db8c99e8b265dcc64818b7521dfe587e1198b264;hp=2f3c2dd0334bd8986e53fa2464c846b673d77859;hpb=ef9a9b35574dbffc7d10bbb2c48c28f69f6c8a9a;p=hwmod.git diff --git a/src/history.vhd b/src/history.vhd index 2f3c2dd..cd6dbcd 100644 --- a/src/history.vhd +++ b/src/history.vhd @@ -166,7 +166,9 @@ begin wr_next <= '1'; address_next <= '0' & std_logic_vector(unsigned(s_cnt_int) - 1); data_in_next <= (others => '0'); - s_cnt_next <= std_logic_vector(unsigned(s_cnt_int) - 1); + if unsigned(s_cnt_int) /= 0 then + s_cnt_next <= std_logic_vector(unsigned(s_cnt_int) - 1); + end if; when S_S_FIN => finished_next <= '1'; s_cnt_next <= (0 => '1', others => '0');