X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Fgen_pkg.vhd;h=f8a2963d90405aea55fe3bd4271915482288685a;hb=a1b9896ecd59e16ef6c28bc6990eadd6e0c515c8;hp=dfe664c1fb05b077a27ef2e4ae84de0cf9bb117c;hpb=b42b2b9d669e1d12db43c70704b4657901d1ab02;p=hwmod.git diff --git a/src/gen_pkg.vhd b/src/gen_pkg.vhd index dfe664c..f8a2963 100644 --- a/src/gen_pkg.vhd +++ b/src/gen_pkg.vhd @@ -37,6 +37,7 @@ package gen_pkg is function ascii2sc (x : hbyte) return hbyte; function valid_char (x : hbyte) return boolean; + function zeile2char(i : std_logic_vector; stelle : natural) return hbyte; -- http://www.marjorie.de/ps2/scancode-set2.htm constant SC_KP_0 : hbyte := x"70"; @@ -123,4 +124,26 @@ package body gen_pkg is end case; return y; end function; + + function zeile2char(i : std_logic_vector; stelle : natural) return hbyte is + subtype zeilnum is string(1 to 2); + type zeilnum_arr is array (natural range 0 to 49) of zeilnum; + constant zn : zeilnum_arr := ( + 0 => "00", 1 => "01", 2 => "02", 3 => "03", 4 => "04", + 5 => "05", 6 => "06", 7 => "07", 8 => "08", 9 => "09", + 10 => "10", 11 => "11", 12 => "12", 13 => "13", 14 => "14", + 15 => "15", 16 => "16", 17 => "17", 18 => "18", 19 => "19", + 20 => "20", 21 => "21", 22 => "22", 23 => "23", 24 => "24", + 25 => "25", 26 => "26", 27 => "27", 28 => "28", 29 => "29", + 30 => "30", 31 => "31", 32 => "32", 33 => "33", 34 => "34", + 35 => "35", 36 => "36", 37 => "37", 38 => "38", 39 => "39", + 40 => "40", 41 => "41", 42 => "42", 43 => "43", 44 => "44", + 45 => "45", 46 => "46", 47 => "47", 48 => "48", 49 => "49", + others => "xy"); + variable t : signed(hzeile'length downto 0); + begin + t := signed('0' & i); + t := t / 2; + return hbyte(to_unsigned(character'pos(zn(to_integer(t))(stelle)),8)); + end; end package body gen_pkg;