X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Fgen_pkg.vhd;h=9e27eae8a8a5435133247d081f8d788325b2e7e5;hb=9f86188ebcedaf3cc7a7106b0a8d6a96493d41bc;hp=0121290ea83713e69ca9dcf0598ed72ec171e775;hpb=27c9670ed41bd1d234c325380433cefd57d2cadc;p=hwmod.git diff --git a/src/gen_pkg.vhd b/src/gen_pkg.vhd index 0121290..9e27eae 100644 --- a/src/gen_pkg.vhd +++ b/src/gen_pkg.vhd @@ -1,6 +1,7 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; +use work.math_pkg.all; package gen_pkg is subtype alu_ops is std_logic_vector(2 downto 0); @@ -17,14 +18,38 @@ package gen_pkg is -- integer ist 32bit (31bit + sign) subtype cinteger is integer; + -- 50 zeilen * 71 zeichen * 2 (berechnung + ergebnis) = 7100 bytes + constant H_RAM_SIZE : integer := 7100; + constant H_RAM_WIDTH : integer := log2c(H_RAM_SIZE); subtype hspalte is std_logic_vector(6 downto 0); - subtype hzeile is std_logic_vector(4 downto 0); + subtype hzeile is std_logic_vector(6 downto 0); subtype hbyte is std_logic_vector(7 downto 0); - subtype hstring is string(1 to 71); + subtype hstring is string(1 to 72); subtype hstr_int is integer range 0 to 72; function find_msb(a : csigned) return divinteger; procedure icwait(signal clk_i : IN std_logic; cycles: natural); + + -- http://www.marjorie.de/ps2/scancode-set2.htm + constant SC_KP_0 : std_logic_vector(7 downto 0) := x"70"; + constant SC_KP_1 : std_logic_vector(7 downto 0) := x"69"; + constant SC_KP_2 : std_logic_vector(7 downto 0) := x"72"; + constant SC_KP_3 : std_logic_vector(7 downto 0) := x"7a"; + constant SC_KP_4 : std_logic_vector(7 downto 0) := x"6b"; + constant SC_KP_5 : std_logic_vector(7 downto 0) := x"73"; + constant SC_KP_6 : std_logic_vector(7 downto 0) := x"74"; + constant SC_KP_7 : std_logic_vector(7 downto 0) := x"6c"; + constant SC_KP_8 : std_logic_vector(7 downto 0) := x"75"; + constant SC_KP_9 : std_logic_vector(7 downto 0) := x"7d"; + + constant SC_KP_PLUS : std_logic_vector(7 downto 0) := x"79"; + constant SC_KP_MINUS : std_logic_vector(7 downto 0) := x"7b"; + constant SC_KP_MUL : std_logic_vector(7 downto 0) := x"7c"; + constant SC_KP_DIV : std_logic_vector(7 downto 0) := x"4a"; -- inkl. 0xe0! + + constant SC_ENTER : std_logic_vector(7 downto 0) := x"5a"; + constant SC_BKSP : std_logic_vector(7 downto 0) := x"66"; + constant SC_SPACE : std_logic_vector(7 downto 0) := x"29"; end package gen_pkg; package body gen_pkg is