X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Fgen_pkg.vhd;h=216d9f0e9962259e3f9cf7d578897a588a582069;hb=030287b141ae40fd8c7e9e8060ee7a96ba109499;hp=11a40b1339e1dd543712af78f2486a0eb3ce8b18;hpb=84b6ef65190dbb25538b87572d2b2312ee447107;p=hwmod.git diff --git a/src/gen_pkg.vhd b/src/gen_pkg.vhd index 11a40b1..216d9f0 100644 --- a/src/gen_pkg.vhd +++ b/src/gen_pkg.vhd @@ -19,6 +19,8 @@ package gen_pkg is subtype cinteger is integer; -- 50 zeilen * 71 zeichen * 2 (berechnung + ergebnis) = 7100 bytes + constant hspalte_max : integer := 71; + constant hzeile_max : integer := 100; constant H_RAM_SIZE : integer := 7100; constant H_RAM_WIDTH : integer := log2c(H_RAM_SIZE); subtype hspalte is std_logic_vector(6 downto 0);