X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Fdebouncing%2Fdebounce.vhd;fp=src%2Fdebouncing%2Fdebounce.vhd;h=79a8d263cbe43943ed9bc20f0b141654aeefaf7f;hb=5c172eaa03a4140731713050b95a53c5cc52db6b;hp=0000000000000000000000000000000000000000;hpb=8fff20317f9be9b5305dfdc62c19ccf380176cd0;p=hwmod.git diff --git a/src/debouncing/debounce.vhd b/src/debouncing/debounce.vhd new file mode 100644 index 0000000..79a8d26 --- /dev/null +++ b/src/debouncing/debounce.vhd @@ -0,0 +1,20 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity debounce is + generic + ( + CLK_FREQ : integer; + TIMEOUT : time range 100 us to 100 ms := 1 ms; + RESET_VALUE : std_logic := '0'; + SYNC_STAGES : integer range 2 to integer'high + ); + port + ( + sys_clk : in std_logic; + sys_res_n : in std_logic; + + data_in : in std_logic; + data_out : out std_logic + ); +end entity debounce;