X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=src%2Fbeh_parser_tb.vhd;h=6b3f9e6d414d6b82d82cbca224592a138156bf7e;hb=51361c5dc1ab06332a04260f5192adcb398238e6;hp=eb452058d5ef5794cf4c630c63c56dd0c1b71d84;hpb=b42b2b9d669e1d12db43c70704b4657901d1ab02;p=hwmod.git diff --git a/src/beh_parser_tb.vhd b/src/beh_parser_tb.vhd index eb45205..6b3f9e6 100644 --- a/src/beh_parser_tb.vhd +++ b/src/beh_parser_tb.vhd @@ -18,9 +18,8 @@ architecture sim of beh_parser_tb is signal stop : boolean := false; begin - inst : entity work.parser(beh) - port map - ( + inst : parser + port map ( sys_clk => sys_clk, sys_res_n => sys_res_n, -- history