X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=quartus%2Fproject.tcl;fp=quartus%2Fproject.tcl;h=5b368b7070d36e36fd4f897c7778020c816979ae;hb=a302dda905ac28ab6ac54649be070880002388b1;hp=5097c58ac03a37acee5f4419061dfcc7d916c01f;hpb=8b036ef70262c5a6071fe214fc9984ec5a0d7b0c;p=hwmod.git diff --git a/quartus/project.tcl b/quartus/project.tcl old mode 100644 new mode 100755 index 5097c58..5b368b7 --- a/quartus/project.tcl +++ b/quartus/project.tcl @@ -31,9 +31,10 @@ if {$make_assignments} { set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP" set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED" - set_global_assignment -name TOP_LEVEL_ENTITY calc + #set_global_assignment -name TOP_LEVEL_ENTITY calc + set_global_assignment -name TOP_LEVEL_ENTITY alu set_global_assignment -name VHDL_FILE ../../src/gen_pkg.vhd - set_global_assignment -name VHDL_FILE ../../src/calc.vhd + #set_global_assignment -name VHDL_FILE ../../src/calc.vhd set_global_assignment -name VHDL_FILE ../../src/alu.vhd set_location_assignment PIN_N3 -to sys_clk