X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=dt%2Fdt.qsf;h=8180379336117b0e8aa89b6619640e10d772c6cb;hb=250b78e68b59bb5639dba5f0f3e2b23cbe71f823;hp=a9102b02dd2aa7a1a62ce2916547b292a1ce5c2b;hpb=e42af2345f9574b9c54ac4deb799670581c8680d;p=calu.git diff --git a/dt/dt.qsf b/dt/dt.qsf index a9102b0..8180379 100644 --- a/dt/dt.qsf +++ b/dt/dt.qsf @@ -44,7 +44,6 @@ set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:08:54 DECEMBER 16, 2 set_global_assignment -name LAST_QUARTUS_VERSION "10.0 SP1" set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 -set_global_assignment -name USE_CONFIGURATION_DEVICE ON set_global_assignment -name GENERATE_RBF_FILE ON set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" @@ -57,6 +56,9 @@ set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" set_location_assignment PIN_178 -to bus_tx set_location_assignment PIN_152 -to sys_clk +set_location_assignment PIN_153 -to bus_rx +set_location_assignment PIN_166 -to led2 +set_location_assignment PIN_42 -to sys_res set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" @@ -75,9 +77,12 @@ set_global_assignment -name FITTER_EFFORT "STANDARD FIT" set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON set_global_assignment -name MUX_RESTRUCTURE OFF set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS" -set_location_assignment PIN_153 -to bus_rx -set_location_assignment PIN_42 -to sys_res_unsync set_global_assignment -name FMAX_REQUIREMENT "50 MHz" + + + + +set_global_assignment -name VHDL_FILE ../cpu/src/core_top_c2de1.vhd set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram_be_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram_be.vhd set_global_assignment -name VHDL_FILE ../cpu/src/rom.vhd @@ -104,6 +109,12 @@ set_global_assignment -name VHDL_FILE ../cpu/src/fetch_stage.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_uart_pkg.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_uart_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_uart.vhd +set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp_pkg.vhd +set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp_b.vhd +set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp.vhd +set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt_pkg.vhd +set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt_b.vhd +set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_pkg.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension.vhd @@ -125,4 +136,6 @@ set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/shift_op_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/or_op_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/and_op_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/add_op_b.vhd +set_location_assignment PIN_41 -to soft_res +set_global_assignment -name MISC_FILE /homes/c0725782/calu/dt/dt.dpf set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file