X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=dt%2Fdt.qsf;h=289cb313f4b154ed6096fde97d422a557e58bb41;hb=16e4a5d83c4442b0e642066ea58b238b257e9c37;hp=5916bb33f6b7c172bd8150f10e4fedf2dd827de8;hpb=de9ded9482a2dd00c35e2578ca450cb744f3e489;p=calu.git diff --git a/dt/dt.qsf b/dt/dt.qsf index 5916bb3..289cb31 100644 --- a/dt/dt.qsf +++ b/dt/dt.qsf @@ -44,7 +44,6 @@ set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:08:54 DECEMBER 16, 2 set_global_assignment -name LAST_QUARTUS_VERSION "10.0 SP1" set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 -set_global_assignment -name USE_CONFIGURATION_DEVICE ON set_global_assignment -name GENERATE_RBF_FILE ON set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" @@ -57,6 +56,9 @@ set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" set_location_assignment PIN_178 -to bus_tx set_location_assignment PIN_152 -to sys_clk +set_location_assignment PIN_153 -to bus_rx +set_location_assignment PIN_166 -to led2 +set_location_assignment PIN_42 -to sys_res set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" @@ -75,9 +77,12 @@ set_global_assignment -name FITTER_EFFORT "STANDARD FIT" set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON set_global_assignment -name MUX_RESTRUCTURE OFF set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS" -set_location_assignment PIN_153 -to bus_rx -set_location_assignment PIN_42 -to sys_res_unsync set_global_assignment -name FMAX_REQUIREMENT "50 MHz" + + + + +set_global_assignment -name VHDL_FILE ../cpu/src/core_top_c2de1.vhd set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram_be_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram_be.vhd set_global_assignment -name VHDL_FILE ../cpu/src/rom.vhd @@ -107,9 +112,11 @@ set_global_assignment -name VHDL_FILE ../cpu/src/extension_uart.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp_pkg.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp.vhd -set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt_pkg.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt.vhd +set_global_assignment -name VHDL_FILE ../cpu/src/extension_timer_pkg.vhd +set_global_assignment -name VHDL_FILE ../cpu/src/extension_timer_b.vhd +set_global_assignment -name VHDL_FILE ../cpu/src/extension_timer.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_pkg.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/extension.vhd @@ -131,6 +138,8 @@ set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/shift_op_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/or_op_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/and_op_b.vhd set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/add_op_b.vhd +set_location_assignment PIN_41 -to soft_res +set_global_assignment -name MISC_FILE /homes/c0725782/calu/dt/dt.dpf set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file