X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fwriteback_stage_b.vhd;h=8c13049009229ccac1826458c1ae41d9df3b989c;hb=06700211ba6dd1d05e96f574aaf34f44ae901cb7;hp=307996ea3df2b8aa5c273f17aadc7bb6690ef8a5;hpb=8dd0b1556d1726952e776954a6b2ca9ae357491c;p=calu.git diff --git a/cpu/src/writeback_stage_b.vhd b/cpu/src/writeback_stage_b.vhd index 307996e..8c13049 100644 --- a/cpu/src/writeback_stage_b.vhd +++ b/cpu/src/writeback_stage_b.vhd @@ -224,6 +224,7 @@ begin ext_7seg.addr <= wb_reg_nxt.address(31 downto 2); ext_7seg.byte_en(1 downto 0) <= wb_reg_nxt.address(1 downto 0); + -- case wb_reg_nxt.address(1 downto 0) is -- when "00" => ext_7seg.byte_en <= "0001"; -- when "01" => ext_7seg.byte_en <= "0010";