X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fwriteback_stage_b.vhd;h=196af9c5af85f0908ab8695db119737a8374aca9;hb=d3e96fef52bbd1c7fc4acf85561e9a4874016283;hp=d432cbdeb00ec161da6bf1cc821d12e504dec274;hpb=ac20f90b4c246e11b0c7f399c53b7adb9b113042;p=calu.git diff --git a/cpu/src/writeback_stage_b.vhd b/cpu/src/writeback_stage_b.vhd index d432cbd..196af9c 100644 --- a/cpu/src/writeback_stage_b.vhd +++ b/cpu/src/writeback_stage_b.vhd @@ -111,7 +111,7 @@ end process; -out_logic: process(write_en, result_addr) +out_logic: process(write_en, result_addr, wb_reg, alu_jmp) begin reg_we <= (write_en or (wb_reg.dmem_en and not(wb_reg.dmem_write_en))) and not(alu_jmp);