X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fwriteback_stage.vhd;h=4f2c231ffcf25c6f5fb7c4ca0411d7b49cc22637;hb=8e14e73cb65faa5819684f0712624b427d78a0c1;hp=ea82a1edc3e045cd22365abe7181a757bdab8069;hpb=0b3367ce6428a2e3e553fae6a7098b6f9d2ab93f;p=calu.git diff --git a/cpu/src/writeback_stage.vhd b/cpu/src/writeback_stage.vhd index ea82a1e..4f2c231 100644 --- a/cpu/src/writeback_stage.vhd +++ b/cpu/src/writeback_stage.vhd @@ -49,8 +49,9 @@ entity writeback_stage is sseg2 : out std_logic_vector(0 to 6); sseg3 : out std_logic_vector(0 to 6); - int_req : out interrupt_t + int_req : out interrupt_t; + lcd_data : out std_logic_vector(6 downto 0) ); end writeback_stage;