X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Frs232_tx_arc.vhd;fp=cpu%2Fsrc%2Frs232_tx_arc.vhd;h=9b5a582f3f9d84235ee4e797fc6b214020df38bc;hb=3e9337b7a4d81fb059f90716d7df9aa3bec9d719;hp=43d862b1a5c563daff9f1182a744bc2b7837b947;hpb=f79b90fff6c5992d835bcdac3252fa023adf4538;p=calu.git diff --git a/cpu/src/rs232_tx_arc.vhd b/cpu/src/rs232_tx_arc.vhd index 43d862b..9b5a582 100755 --- a/cpu/src/rs232_tx_arc.vhd +++ b/cpu/src/rs232_tx_arc.vhd @@ -37,6 +37,7 @@ begin state <= IDLE; idle_sig <= '0'; bus_tx_int <= '1'; + baud_cnt <= 0; elsif rising_edge(sys_clk) then -- sync Zustand, uebernehmen der next-Signale baud_cnt <= baud_cnt_next; @@ -69,7 +70,7 @@ begin end process; -- Ausgabe Logik - rs232_tx_baud : process(sys_clk, sys_res_n, state, baud_cnt, cnt, tx_data, bus_tx_int,stop_bit) + rs232_tx_baud : process(sys_clk, sys_res_n, state, baud_cnt, cnt, tx_data, bus_tx_int,stop_bit, bd_rate) begin -- Solang idle_sig auf 0 ist wird im SEND Zustand verblieben idle_sig_next <= '0'; @@ -99,14 +100,14 @@ begin when 9 => -- counter = 9 => Stopbit versenden bus_tx_nxt <= '1'; - -- stop_bit 0 heißt 1 stop bit + -- stop_bit 0 heit 1 stop bit if stop_bit = '0' then cnt_next <= 0; idle_sig_next <= '1'; end if; when 10 => bus_tx_nxt <= '1'; - -- stop_bit 1 heißt 2 stop bits + -- stop_bit 1 heit 2 stop bits if stop_bit = '1' then cnt_next <= 0; -- Signalisieren dass der Sendevorgang beendet ist