X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Frs232_tx_arc.vhd;fp=cpu%2Fsrc%2Frs232_tx_arc.vhd;h=1caa47dc5e4b975dce2dc6033ed3843e1bb4c526;hb=b7b8e941c868a7ebdfcc3fbf15564dac19251ed6;hp=3dce8796b52fb5163c16dfa296c542fa49ecce19;hpb=7bd87d5c82d7b1f7e86a15b0e6f1940020c87330;p=calu.git diff --git a/cpu/src/rs232_tx_arc.vhd b/cpu/src/rs232_tx_arc.vhd index 3dce879..1caa47d 100755 --- a/cpu/src/rs232_tx_arc.vhd +++ b/cpu/src/rs232_tx_arc.vhd @@ -23,7 +23,7 @@ architecture beh of rs232_tx is type STATE_TYPE is (IDLE,SEND); signal state, state_next : STATE_TYPE; signal bus_tx_int, bus_tx_nxt : std_logic := '1'; - signal baud_cnt,baud_cnt_next : integer; + signal baud_cnt,baud_cnt_next : integer := 0; signal cnt, cnt_next : natural range 0 to 11 := 0; signal idle_sig, idle_sig_next : std_logic := '0';