X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Frs232_rx.vhd;h=8ce22d27e4e5944a2e1bd36d6658ff9ef5083e83;hb=64ef7ca2830116409f4c23802a202ab4b1ce5ec8;hp=6f83cebb8eca8f0835435ba75d4df3d8d2154fe2;hpb=cde0d011bc1b001e7d6bd5b9d3d3678e4cd7e2e7;p=calu.git diff --git a/cpu/src/rs232_rx.vhd b/cpu/src/rs232_rx.vhd index 6f83ceb..8ce22d2 100755 --- a/cpu/src/rs232_rx.vhd +++ b/cpu/src/rs232_rx.vhd @@ -20,7 +20,8 @@ use work.extension_uart_pkg.all; entity rs232_rx is generic ( -- active reset value - RESET_VALUE : std_logic + RESET_VALUE : std_logic; + SYNC_STAGES : integer range 2 to integer'high ); port( @@ -29,7 +30,7 @@ entity rs232_rx is sys_res_n : in std_logic; --Bus - bus_rx : in std_logic; + bus_rx_unsync : in std_logic; --To sendlogic new_rx_data : out std_logic;