X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2From_b.vhd;h=e21ddccfc62faeda3123a3edc14544f963869217;hb=2f1bd33623f23cd0cafd3cd2e5d4d1f620d9a2be;hp=19c4e29499cd44d9f3879fdf13be22f042619a34;hpb=36a22dbc7703305d8d68de8cd571cf83376ee410;p=calu.git diff --git a/cpu/src/rom_b.vhd b/cpu/src/rom_b.vhd index 19c4e29..e21ddcc 100644 --- a/cpu/src/rom_b.vhd +++ b/cpu/src/rom_b.vhd @@ -70,8 +70,8 @@ begin when "00000000000" => data_out <= x"ed010058"; when "00000000001" => data_out <= x"ed090060"; - when "00000000010" => data_out <= x"e7188000"; - when "00000000011" => data_out <= x"ec1a0000"; + when "00000000010" => data_out <= x"e5860000"; --x"e7188000"; + when "00000000011" => data_out <= x"e5a00000"; --x"ec1a0000"; when "00000000100" => data_out <= x"1b7ffe01"; when "00000000101" => data_out <= x"e7980000"; when "00000000110" => data_out <= x"e1218000";