X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2From_b.vhd;h=b75c7d43590fbd377bedc7db8dc7967bac42be8b;hb=e342529c0374b6173bd50f346c088fc7e5eccfdc;hp=e45f7de9f73940945cec80df26e826962668b138;hpb=1a2e51983b5e25fec44b1ef9b1f61f22dce19afa;p=calu.git diff --git a/cpu/src/rom_b.vhd b/cpu/src/rom_b.vhd index e45f7de..b75c7d4 100644 --- a/cpu/src/rom_b.vhd +++ b/cpu/src/rom_b.vhd @@ -123,50 +123,101 @@ begin -- when "00000000111" => data_out <= x"e7a00004"; -- when "00000001000" => data_out <= x"e7280004"; -- -- when "00000001001" => data_out <= x"eb7ffb81"; - when "0000000" => data_out <= x"eb000183"; -- br+ main - when "0000001" => data_out <= x"eb000487"; -- call+ uartrdy + when "0000000" => data_out <= x"eb000183"; -- br+ main + when "0000001" => data_out <= x"eb000103"; -- br+ main when "0000010" => data_out <= x"eb000008"; -- ret - when "0000011" => data_out <= x"ed090058"; -- ldi r1, 0x200b - when "0000100" => data_out <= x"ed110060"; -- ldi r2, 0x200c - when "0000101" => data_out <= x"ed190080"; -- ldi r3, 0x2010 - when "0000110" => data_out <= x"ed210120"; -- ldi r4, 0x2024 - when "0000111" => data_out <= x"ed280018"; -- ldi r5, 3 - when "0001000" => data_out <= x"e7aa0000"; -- stw r5, 0(r4) - when "0001001" => data_out <= x"eb7ffb83"; -- br+ start - when "0001010" => data_out <= x"ed4101b8"; -- ldi r8, 0x2037 - when "0001011" => data_out <= x"ed4901d8"; -- ldi r9, 0x203b - when "0001100" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0001101" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0001110" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0001111" => data_out <= x"ed5739c8"; -- ldi r10, 0xe739 - when "0010000" => data_out <= x"e5554000"; -- lls r10, r10, 16 - when "0010001" => data_out <= x"e7d48000"; -- stw r10, 0(r9) - when "0010010" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0010011" => data_out <= x"ed500008"; -- ldi r10, 1 - when "0010100" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0010101" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0010110" => data_out <= x"ed573dc8"; -- ldi r10, 0xe7b9 - when "0010111" => data_out <= x"e5552000"; -- lls r10, r10, 8 - when "0011000" => data_out <= x"e1550400"; -- addi r10, r10, 0x80 - when "0011001" => data_out <= x"e5552000"; -- lls r10, r10, 8 - when "0011010" => data_out <= x"e7d48000"; -- stw r10, 0(r9) - when "0011011" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0011100" => data_out <= x"ed500010"; -- ldi r10, 2 - when "0011101" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0011110" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0011111" => data_out <= x"ed573dc0"; -- ldi r10, 0xe7b8 - when "0100000" => data_out <= x"e5552000"; -- lls r10, r10, 8 - when "0100001" => data_out <= x"e1550400"; -- addi r10, r10, 0x80 - when "0100010" => data_out <= x"e5552000"; -- lls r10, r10, 8 - when "0100011" => data_out <= x"e7d48000"; -- stw r10, 0(r9) - when "0100100" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0100101" => data_out <= x"ed500018"; -- ldi r10, 3 - when "0100110" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0100111" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0101000" => data_out <= x"ed575800"; -- ldi r10, 0xeb00 - when "0101001" => data_out <= x"e5554000"; -- lls r10, r10, 16 - when "0101010" => data_out <= x"e1550040"; -- addi r10, r10, 0x8 - when "0101011" => data_out <= x"e7d48000"; -- stw r10, 0(r9) + when "0000011" => data_out <= x"ed510000"; -- ldi r10, UART_BASE@lo + when "0000100" => data_out <= x"ed500002"; -- ldih r10, UART_BASE@hi + when "0000101" => data_out <= x"ed590180"; -- ldi r11, PBASE@lo + when "0000110" => data_out <= x"ed580002"; -- ldih r11, PBASE@hi + when "0000111" => data_out <= x"eb000985"; -- call recv_byte + when "0001000" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0001001" => data_out <= x"ec800240"; -- cmpi r0, 0x48 + when "0001010" => data_out <= x"1b001983"; -- breq+ bt_H + when "0001011" => data_out <= x"eb7ffe01"; -- br poll + when "0001100" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) + when "0001101" => data_out <= x"e2980008"; -- andx r3, UART_TRANS_EMPTY + when "0001110" => data_out <= x"0b7fff03"; -- brnz+ send_byte + when "0001111" => data_out <= x"e78d0008"; -- stw r1, UART_TRANS(r10) + when "0010000" => data_out <= x"eb000008"; -- ret + when "0010001" => data_out <= x"e5008008"; -- lrs r0, r1, 0 + when "0010010" => data_out <= x"eb7ffd05"; -- call send_byte + when "0010011" => data_out <= x"e500a008"; -- lrs r0, r1, 8 + when "0010100" => data_out <= x"eb7ffc05"; -- call send_byte + when "0010101" => data_out <= x"e500c008"; -- lrs r0, r1, 16 + when "0010110" => data_out <= x"eb7ffb05"; -- call send_byte + when "0010111" => data_out <= x"e500e008"; -- lrs r0, r1, 24 + when "0011000" => data_out <= x"eb7ffa05"; -- call send_byte + when "0011001" => data_out <= x"eb000008"; -- ret + when "0011010" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) + when "0011011" => data_out <= x"e2980010"; -- andx r3, UART_RECV_NEW + when "0011100" => data_out <= x"1b7fff03"; -- brzs+ recv_byte + when "0011101" => data_out <= x"e4000000"; -- xor r0, r0, r0 + when "0011110" => data_out <= x"e705000c"; -- ldw r0, UART_RECV(r10) + when "0011111" => data_out <= x"eb000008"; -- ret + when "0100000" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0100001" => data_out <= x"eb7ffc85"; -- call recv_byte + when "0100010" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0100011" => data_out <= x"eb7ffb85"; -- call recv_byte + when "0100100" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0100101" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0100110" => data_out <= x"eb7ffa05"; -- call recv_byte + when "0100111" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0101000" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0101001" => data_out <= x"eb7ff885"; -- call recv_byte + when "0101010" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0101011" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0101100" => data_out <= x"e1008000"; -- addi r0, r1, 0 + when "0101101" => data_out <= x"eb000008"; -- ret + when "0101110" => data_out <= x"eb7ff605"; -- call recv_byte + when "0101111" => data_out <= x"ed080280"; -- ldi r1, 0x50 + when "0110000" => data_out <= x"eb7fee05"; -- call send_byte + when "0110001" => data_out <= x"eb001701"; -- br tehend + when "0110010" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0110011" => data_out <= x"ec8002b8"; -- cmpi r0, 0x57 + when "0110100" => data_out <= x"1b000681"; -- breq- bt_W + when "0110101" => data_out <= x"ec800290"; -- cmpi r0, 0x52 + when "0110110" => data_out <= x"1b000901"; -- breq- bt_R + when "0110111" => data_out <= x"ec800288"; -- cmpi r0, 0x51 + when "0111000" => data_out <= x"1b000b81"; -- breq- bt_Q + when "0111001" => data_out <= x"ec8002a0"; -- cmpi r0, 0x54 + when "0111010" => data_out <= x"1b000e01"; -- breq- bt_T + when "0111011" => data_out <= x"ec800250"; -- cmpi r0, 0x4a + when "0111100" => data_out <= x"1b001081"; -- breq- bt_J + when "0111101" => data_out <= x"ed080278"; -- ldi r1, 0x4f + when "0111110" => data_out <= x"eb7fe705"; -- call send_byte + when "0111111" => data_out <= x"eb7fe685"; -- call send_byte + when "1000000" => data_out <= x"eb7ff701"; -- br bootrom + when "1000001" => data_out <= x"eb7fef85"; -- call recv_word + when "1000010" => data_out <= x"e7858004"; -- stw r0, PADDR(r11) + when "1000011" => data_out <= x"eb7fee85"; -- call recv_word + when "1000100" => data_out <= x"e7858008"; -- stw r0, PDATA(r11) + when "1000101" => data_out <= x"ed080220"; -- ldi r1, 0x44 + when "1000110" => data_out <= x"eb7fe305"; -- call send_byte + when "1000111" => data_out <= x"eb7ff381"; -- br bootrom + when "1001000" => data_out <= x"eb7fec05"; -- call recv_word + when "1001001" => data_out <= x"e1100000"; -- mov r2, r0 + when "1001010" => data_out <= x"ed080230"; -- ldi r1, 0x46 + when "1001011" => data_out <= x"eb7fe085"; -- call send_byte + when "1001100" => data_out <= x"ea090000"; -- ldx r1, 0(r2) + when "1001101" => data_out <= x"eb7fe205"; -- call send_word + when "1001110" => data_out <= x"eb7ff001"; -- br bootrom + when "1001111" => data_out <= x"eb7fe885"; -- call recv_word + when "1010000" => data_out <= x"e1300000"; -- mov r6, r0 + when "1010001" => data_out <= x"eb7fe785"; -- call recv_word + when "1010010" => data_out <= x"e7830000"; -- stw r0, 0(r6) + when "1010011" => data_out <= x"ed080208"; -- ldi r1, 0x41 + when "1010100" => data_out <= x"eb7fdc05"; -- call send_byte + when "1010101" => data_out <= x"eb7fec81"; -- br bootrom + when "1010110" => data_out <= x"eb7fe505"; -- call recv_word + when "1010111" => data_out <= x"e1100000"; -- mov r2, r0 + when "1011000" => data_out <= x"ed080238"; -- ldi r1, 0x47 + when "1011001" => data_out <= x"eb7fd985"; -- call send_byte + when "1011010" => data_out <= x"e7090000"; -- ldw r1, 0(r2) + when "1011011" => data_out <= x"eb7fdb05"; -- call send_word + when "1011100" => data_out <= x"eb7fe901"; -- br bootrom + when "1011101" => data_out <= x"eb7fe185"; -- call recv_word + when "1011110" => data_out <= x"eb800000"; -- brr r0 -- just nop until rom end! when others => data_out <= x"fd000000"; end case;