X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2From_b.vhd;h=9af8d8209da2d2075a94c7570481153139a5328d;hb=a70afaae294cdb93b51ca938ddf18e2ce88f0451;hp=492c5a63fde6117239c477e07e08014a9547d62a;hpb=7c3ad29b6f9c582c6fcb2e1c4701ad22a751c4b1;p=calu.git diff --git a/cpu/src/rom_b.vhd b/cpu/src/rom_b.vhd index 492c5a6..9af8d82 100644 --- a/cpu/src/rom_b.vhd +++ b/cpu/src/rom_b.vhd @@ -132,7 +132,7 @@ begin when "0000110" => data_out <= x"ed210120"; -- ldi r4, 0x2024 when "0000111" => data_out <= x"ed280018"; -- ldi r5, 3 when "0001000" => data_out <= x"e7aa0000"; -- stw r5, 0(r4) - -- when "0001001" => data_out <= x"eb7ffb83"; -- br+ start + when "0001001" => data_out <= x"eb7ffb83"; -- br+ start when "0001010" => data_out <= x"ed4101a0"; -- ldi r8, 0x2034 when "0001011" => data_out <= x"ed4901c0"; -- ldi r9, 0x2038 when "0001100" => data_out <= x"e4555000"; -- xor r10, r10, r10