X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2From_b.vhd;h=7f551eddf37f8e104d7d26262f0d5d502871768a;hb=c30e3b9f2e5bb47e55390fbe91e4adc7b0674736;hp=e45f7de9f73940945cec80df26e826962668b138;hpb=1a2e51983b5e25fec44b1ef9b1f61f22dce19afa;p=calu.git diff --git a/cpu/src/rom_b.vhd b/cpu/src/rom_b.vhd index e45f7de..7f551ed 100644 --- a/cpu/src/rom_b.vhd +++ b/cpu/src/rom_b.vhd @@ -133,40 +133,43 @@ begin when "0000111" => data_out <= x"ed280018"; -- ldi r5, 3 when "0001000" => data_out <= x"e7aa0000"; -- stw r5, 0(r4) when "0001001" => data_out <= x"eb7ffb83"; -- br+ start - when "0001010" => data_out <= x"ed4101b8"; -- ldi r8, 0x2037 - when "0001011" => data_out <= x"ed4901d8"; -- ldi r9, 0x203b - when "0001100" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0001101" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0001110" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0001111" => data_out <= x"ed5739c8"; -- ldi r10, 0xe739 - when "0010000" => data_out <= x"e5554000"; -- lls r10, r10, 16 - when "0010001" => data_out <= x"e7d48000"; -- stw r10, 0(r9) - when "0010010" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0010011" => data_out <= x"ed500008"; -- ldi r10, 1 - when "0010100" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0010101" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0010110" => data_out <= x"ed573dc8"; -- ldi r10, 0xe7b9 - when "0010111" => data_out <= x"e5552000"; -- lls r10, r10, 8 - when "0011000" => data_out <= x"e1550400"; -- addi r10, r10, 0x80 - when "0011001" => data_out <= x"e5552000"; -- lls r10, r10, 8 - when "0011010" => data_out <= x"e7d48000"; -- stw r10, 0(r9) - when "0011011" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0011100" => data_out <= x"ed500010"; -- ldi r10, 2 - when "0011101" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0011110" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0011111" => data_out <= x"ed573dc0"; -- ldi r10, 0xe7b8 - when "0100000" => data_out <= x"e5552000"; -- lls r10, r10, 8 - when "0100001" => data_out <= x"e1550400"; -- addi r10, r10, 0x80 - when "0100010" => data_out <= x"e5552000"; -- lls r10, r10, 8 - when "0100011" => data_out <= x"e7d48000"; -- stw r10, 0(r9) - when "0100100" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0100101" => data_out <= x"ed500018"; -- ldi r10, 3 - when "0100110" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0100111" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0101000" => data_out <= x"ed575800"; -- ldi r10, 0xeb00 - when "0101001" => data_out <= x"e5554000"; -- lls r10, r10, 16 - when "0101010" => data_out <= x"e1550040"; -- addi r10, r10, 0x8 - when "0101011" => data_out <= x"e7d48000"; -- stw r10, 0(r9) + when "0001010" => data_out <= x"e7390000"; -- ldw r7, 0(r2) + when "0001011" => data_out <= x"e4555000"; -- xor r10, r10, r10 + when "0001100" => data_out <= x"ed500208"; -- ldi r10, 0x41 + when "0001101" => data_out <= x"e7d08000"; -- stw r10, 0(r1) + when "0001110" => data_out <= x"ed4101a0"; -- ldi r8, 0x2034 + when "0001111" => data_out <= x"ed4901c0"; -- ldi r9, 0x2038 + when "0010000" => data_out <= x"e4555000"; -- xor r10, r10, r10 + when "0010001" => data_out <= x"e45dd800"; -- xor r11, r11, r11 + when "0010010" => data_out <= x"ed500000"; -- ldi r10, 0 + when "0010011" => data_out <= x"e7d40000"; -- stw r10, 0(r8) + when "0010100" => data_out <= x"ed580c18"; -- ldi r11, 0x0183 + when "0010101" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 + when "0010110" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) + when "0010111" => data_out <= x"e4555000"; -- xor r10, r10, r10 + when "0011000" => data_out <= x"ed500008"; -- ldi r10, 1 + when "0011001" => data_out <= x"e7d40000"; -- stw r10, 0(r8) + when "0011010" => data_out <= x"ed580838"; -- ldi r11, 0x107 + when "0011011" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 + when "0011100" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) + when "0011101" => data_out <= x"e4555000"; -- xor r10, r10, r10 + when "0011110" => data_out <= x"ed500010"; -- ldi r10, 2 + when "0011111" => data_out <= x"e7d40000"; -- stw r10, 0(r8) + when "0100000" => data_out <= x"ed580040"; -- ldi r11, 0x8 + when "0100001" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 + when "0100010" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) + when "0100011" => data_out <= x"e4555000"; -- xor r10, r10, r10 + when "0100100" => data_out <= x"ed500020"; -- ldi r10, 4 + when "0100101" => data_out <= x"e7d40000"; -- stw r10, 0(r8) + when "0100110" => data_out <= x"ed5c0000"; -- ldi r11, 0x8000 + when "0100111" => data_out <= x"ed5f3dc2"; -- ldih r11, 0xe7b8 + when "0101000" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) + when "0101001" => data_out <= x"e4555000"; -- xor r10, r10, r10 + when "0101010" => data_out <= x"ed500028"; -- ldi r10, 5 + when "0101011" => data_out <= x"e7d40000"; -- stw r10, 0(r8) + when "0101100" => data_out <= x"ed580018"; -- ldi r11, 0x3 + when "0101101" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 + when "0101110" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) -- just nop until rom end! when others => data_out <= x"fd000000"; end case;