X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2From.vhd;fp=cpu%2Fsrc%2From.vhd;h=65e639410b7660ccfdd6f46587afabfb1f8a5d6a;hb=3c70212f14d18c29c92390be20f48285065e986e;hp=7de1beb5b7b3150b1606bad6b746e95a060175ac;hpb=e42af2345f9574b9c54ac4deb799670581c8680d;p=calu.git diff --git a/cpu/src/rom.vhd b/cpu/src/rom.vhd index 7de1beb..65e6394 100644 --- a/cpu/src/rom.vhd +++ b/cpu/src/rom.vhd @@ -11,11 +11,7 @@ entity rom is --System inputs clk : in std_logic; --Input - wr_addr, rd_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0); - - wr_en : in std_logic; - data_in : in std_logic_vector(DATA_WIDTH-1 downto 0); - + rd_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0); --Output data_out : out std_logic_vector(DATA_WIDTH-1 downto 0) );